gpt4 book ai didi

Verilog 测试台时钟

转载 作者:行者123 更新时间:2023-12-05 08:14:02 25 4
gpt4 key购买 nike

我已经尝试了多种方法,我现在有点绝望。我试图在我的测试台上制作这个时钟问题是在模拟中它不起作用或者我的模拟似乎卡住了。我知道它必须是时钟。

 initial begin 
forever begin
clk = 0;
#10 clk = ~clk;
end
end
initial begin
reset = 0;
#15 L = 0; R = 0; H = 0;
#20 L = 0; R = 0; H = 1;
#25 L = 0; R = 1; H = 0;
#30 L = 0; R = 1; H = 1;
#35 L = 1; R = 0; H = 0;
#45 L = 1; R = 0; H = 1;
#50 L = 1; R = 1; H = 0;
#55 L = 1; R = 1; H = 1;

reset = 1;
#60 L = 0; R = 0; H = 0;
#65 L = 0; R = 0; H = 1;
#70 L = 0; R = 1; H = 0;
#75 L = 0; R = 1; H = 1;
#80 L = 1; R = 0; H = 0;
#85 L = 1; R = 0; H = 1;
#90 L = 1; R = 1; H = 0;
#95 L = 1; R = 1; H = 1;
$stop ;
end

结束模块

最佳答案

 initial begin 
forever begin
clk = 0;
#10 clk = ~clk;
end end

尝试将 clk=0 移动到永远循环之上。您不是每 #10 个单位切换一次时钟,而是每 #10 个单位将时钟重置为 0,然后立即切换它。我认为这在某些情况下仍然可行,但这可能不是您打算做的。

关于Verilog 测试台时钟,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/24924956/

25 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com