gpt4 book ai didi

system-verilog - 在系统verilog断言中重复一个序列

转载 作者:行者123 更新时间:2023-12-05 07:53:18 26 4
gpt4 key购买 nike

在初始匹配之后,我需要检查序列直到模拟结束。

property check_at_fall;
@(posedge clk)
$rose(enable) ##[1:$] $fell(enable) |-> ##[0:2] ch_sel_seq [*1000] ;
endproperty
assert_TELEMETRY : assert property (check_at_fall)
else `uvm_error("ADC_if",$psprintf("unexpected sequence "))

我想要的是 [*$] 而不是上面的 [*1000]。我不想给出一个巨大的数字,我想永远运行它。

最佳答案

来自 SystemVerilog 1800-2012 第 359 页:

要指定有限但无界的迭代次数,请使用美元符号 ($)。例如,重复

a ##1 b [*1:$] ##1 c

此外,[*] 是 [*0:$] 的等价表示,[+] 是 [*1:$] 的等价表示。

关于system-verilog - 在系统verilog断言中重复一个序列,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/32714087/

26 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com