gpt4 book ai didi

generics - 如何使用 Modelsim 在编译时定义泛型值?

转载 作者:行者123 更新时间:2023-12-05 06:44:21 24 4
gpt4 key购买 nike

是否可以使用 Modelsim 在编译时定义一个 generic 值?

我需要编译一个包含 generate 语句的文件,这些语句根据我的 generic bool 值关闭和打开。

我没有成功尝试以下编译语句,其中 is_primary 是 bool 变量名称:

vcom -work work -is_primary=true file_name.vhd

我找到了类似的模拟语法 (vsim),但我没有找到为 vcom 定义 generic 的方法。有什么建议吗?

最佳答案

泛型只是通过泛型列表传递到实体中的常量。您不会编译一个顶层,然后编译另一个顶层,您编译一个顶层,然后编译带有两个实例的测试平台。您将一个连接到 true,另一个连接到 false。完成。

U0:实体顶层 通用 map (is_primary => true) 端口映射(在此处插入端口);

U1:实体顶层 通用 map (is_primary => false) 端口映射(在此处插入端口);

在你的层次结构中,但是在详细说明之前你不能检查你的泛型。运行你的 sim 1 ps 然后去检查它们。

关于generics - 如何使用 Modelsim 在编译时定义泛型值?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/29658466/

24 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com