- html - 出于某种原因,IE8 对我的 Sass 文件中继承的 html5 CSS 不友好?
- JMeter 在响应断言中使用 span 标签的问题
- html - 在 :hover and :active? 上具有不同效果的 CSS 动画
- html - 相对于居中的 html 内容固定的 CSS 重复背景?
IEEE 1800-2017 LRM 在25.9 虚拟接口(interface)部分指出:
Although an interface may contain hierarchical references to objects outside its body or ports that reference other interfaces, it shall be illegal to use an interface containing those references in the declaration of a virtual interface.
以下是此类不允许的分层引用的示例吗?
interface some_other_intf();
bit some_signal;
endinterface
interface some_intf();
some_other_intf intf();
task foo();
intf.some_signal <= 0;
endtask
endinterface
virtual some_intf some_vif;
我有一个工具会提示包含 intf.some_signal <= 0
的行.同时 intf.some_signal
是一个分层引用,它是一个相对引用,所以我不明白为什么这会被禁止。
intf
是界面主体的一部分。我不确定如何解释引用其他接口(interface)的端口部分。
最佳答案
这是一个引用另一个接口(interface)的端口示例
interface some_other_intf();
bit some_signal;
parameter T = int;
endinterface
interface some_intf(some_other_interface intf);
task foo();
intf.some_signal <= 0;
endtask
typefef intf.T myT;
myT another_signal;
endinterface
virtual some_intf some_vif;
问题来自对 some_vif.another_signal
的引用,它的类型可能会根据 T 的哪些参数化连接到 intf
而改变。
对于大多数用例,这不是问题,但 SystemVerilog 委员会从未花时间澄清可以允许的特定情况;刚刚制定了广泛的禁令。
关于system-verilog - 什么算作虚拟接口(interface)的非法层次引用?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/54627223/
我正在尝试将多个水平链接的 Button 和 TextView 垂直链接为 View 集,但仍保持平面 View 层次结构。这是我的初始布局和代码:
到目前为止,我已经在Google BigQuery上训练了几种模型,目前我需要查看模型的外观(即架构,损失函数等)。 有没有办法获取这些信息? 最佳答案 仔细阅读文档后,我可以说该功能尚不存在。我什至
本文实例讲述了PHP实现二叉树深度优先遍历(前序、中序、后序)和广度优先遍历(层次)。分享给大家供大家参考,具体如下: 前言: 深度优先遍历:对每一个可能的分支路径深入到不能再深入为止,而且每个
我是一名优秀的程序员,十分优秀!