gpt4 book ai didi

vhdl - 无法使用 VHDL 2008 Quartus Prime 进行编译

转载 作者:行者123 更新时间:2023-12-05 02:00:32 27 4
gpt4 key购买 nike

我正在使用 Quartus Prime 精简版,我想像这样在 std_logic_vector 上使用一元运算符 nand

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity example1 is
port(
BIN : in std_logic_vector (7 downto 0);
result : out std_logic
);
end;

architecture Beh of example1 is
begin

result <= nand BIN;

end Beh;

我试着关注 this说明,在 Compiler SettingsVHDL Input 下更改了 VHDL version。仍然没有效果并得到:错误 (10500):lab2.vhd(16) 文本“nand”附近的 VHDL 语法错误;期待“(”,或标识符(“nand”是保留关键字),或一元运算符

最佳答案

关于vhdl - 无法使用 VHDL 2008 Quartus Prime 进行编译,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/67253226/

27 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com