gpt4 book ai didi

vhdl - 如何确定 VHDL 中是否设置了 STD_LOGIC_VECTOR 中的多于一位

转载 作者:行者123 更新时间:2023-12-05 01:35:05 25 4
gpt4 key购买 nike

我想知道如何确定四位 STD_LOGIC_VECTOR 中是否有超过一位设置为“1”。

例如,如果它是“1001”或“1100”或“1111”。

我正在编写一个程序,如果我的实体收到多个控制信号,我必须将错误信号设置为“1”。四个控制信号已合并为一个 4 位 STD_LOGIC_VECTOR,我需要一种智能方法来确定是否设置了多个位。

最佳答案

我解决了

with selvec select
ERR <= '0' when "0001",
'0' when "0010",
'0' when "0100",
'0' when "1000",
'0' when "0000",
'1' when others;

这不是最简洁的代码,但它可以解决问题。

关于vhdl - 如何确定 VHDL 中是否设置了 STD_LOGIC_VECTOR 中的多于一位,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/28366890/

25 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com