- html - 出于某种原因,IE8 对我的 Sass 文件中继承的 html5 CSS 不友好?
- JMeter 在响应断言中使用 span 标签的问题
- html - 在 :hover and :active? 上具有不同效果的 CSS 动画
- html - 相对于居中的 html 内容固定的 CSS 重复背景?
我是 VHDL 的新手,正在关注 this tutorial实现以下 Mealy 有限状态机:
并用VHDL编写了以下代码:
library ieee;
use ieee.std_logic_1164.all;
entity fsm is
port(clk, rst, in1 : in std_logic; o1 : out std_logic);
end fsm;
architecture mealy of fsm is
type state is (state1, state2);
signal current_state, next_state : state;
begin
comb: process(current_state, in1) begin
next_state <= current_state; -- default case
case current_state is
when state1 =>
o1 <= '0';
if in1 = '1' then
o1 <= '1';
next_state <= state2;
end if;
when state2 =>
o1 <= '1';
if in1 = '0' then
o1 <= '0';
next_state <= state1;
end if;
end case;
end process;
mem: process(clk, rst) begin
if rst = '1' then
current_state <= state1;
else
current_state <= next_state;
end if;
end process;
end mealy;
但是在应用以下测试平台时:
library ieee;
use ieee.std_logic_1164.all;
entity fsm_tb is
end fsm_tb;
architecture sim of fsm_tb is
constant clockperiod : time := 10 ns; -- 100 Mhz clock
signal clk : std_logic := '0';
signal rst : std_logic;
signal in1, o_mealy : std_logic;
begin
uut_mealy : entity work.fsm(mealy) port map( clk => clk, rst => rst, in1 => in1, o1 => o_mealy);
clk <= not clk after clockperiod/2;
process begin
-- initial reset
in1 <= '0';
rst <= '1';
wait until rising_edge(clk);
-- take device out of reset
rst <= '0';
-- apply same inputs to both the devices
in1 <= '0'; wait for 23 ns;
in1 <= '1'; wait for 32 ns;
in1 <= '0'; wait for 7 ns;
in1 <= '1'; wait for 15 ns;
wait;
end process;
end sim;
我得到的波形对我来说没有意义:
如您所见,即使没有时钟边沿,输出 o_mealy
也会发生变化。它似乎只是在遵循输入。相比之下,我已经实现了等效的 Moore 机器,它似乎工作得很好:
如果有人能指出我做错了什么,将不胜感激。同样,我使用了 this video以供引用。我将 GHDL 与 GTKWave 结合使用。
最佳答案
看看你的并发逻辑:
case current_state is
when state1 =>
o1 <= '0';
if in1 = '1' then
o1 <= '1';
next_state <= state2;
end if;
when state2 =>
o1 <= '1';
if in1 = '0' then
o1 <= '0';
next_state <= state1;
end if;
end case;
在这两种状态中的任何一种状态下,如果 in1 = '1',则输出为 1,如果 in1 = '0',则输出为 0。所以 FSM 工作正常,但从外面看你就是看不到两种状态的区别。
关于你做错了什么:我认为这是正确的,实际上,看着你的画。在粉状机器中,输出取决于当前状态和当前输入,这正是这里发生的情况。
关于VHDL:Mealy FSM 不在时钟边沿产生状态变化?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/74209174/
组合 FSM 的状态是否“正确”? 假设你有一个对象 enum State { State1 = 1 << 0, State2 = 1 << 1, State3 = 1 <<
我想编写一个以空闲状态开始并根据某些事件从一种状态转移到另一种状态的FSM。我对FSM的编码不熟悉,而Google也无济于事。 欣赏是否有人可以发布可用于同一数据结构的C数据结构。 谢谢, syuga
我在 django-fsm 中让基于源和目标规则的转换装饰器运行良好(有限状态机)。现在我正在尝试添加权限处理。这看起来很简单,但似乎无论我做什么,转换都会执行,无论用户是否拥有权限。我试过 Djan
关闭。这个问题不符合Stack Overflow guidelines .它目前不接受答案。 要求我们推荐或查找工具、库或最喜欢的场外资源的问题对于 Stack Overflow 来说是偏离主题的,
我正在尝试使用有限状态机作为管理简单游戏流程的模型。进入主菜单状态,从中选择开始游戏或修改选项等。 我这样做的方法是创建一个状态基类,每个状态都从该类继承。我有一个管理程序循环的应用程序类,并添加一个
嗨..我想要一个如何在 C 中使用函数指针实现 FSM 的示例。 最佳答案 参见 this simple example关于如何在 C 中实现有限状态机。 关于c - FSM 中的函数指针,我们在St
我想为此语法实现一个 FSM/“下推自动机”解析器:parser with scopes and conditionals已经被“词法分析”到 Finite State Machine parser
我正在使用 Fysom 创建 FSM。我想以其他方式使用回调: TABLE = { 'initial': 'OFF', 'events': [{'name': 'load', 'src': 'OFF'
我是 VHDL 的新手,正在关注 this tutorial实现以下 Mealy 有限状态机: 并用VHDL编写了以下代码: library ieee; use ieee.std_logic_1164
我在 VHDL 中看到的很多 FSM 都是通过在 FSM 逻辑中设置一个变量“next_state”来工作的,然后将它单独分配给进程之外的状态变量。 如果简单地写“state <= state_fiv
当我尝试编写有限状态机时,我刚刚在 Haskell 中遇到了“无限类型”。我认为以下内容非常直观: fsm [] _ acc = Right acc fsm (x:xs) state
我喜欢采用 FSM 需要的指标,直到它达到某个状态。我不想用度量代码向我的类发送垃圾邮件,而是想添加一个特征来覆盖某些方法并在调用该方法时发出一条消息。 例如: trait InstrumentedA
关闭。这个问题不满足Stack Overflow guidelines .它目前不接受答案。 想改善这个问题吗?更新问题,使其成为 on-topic对于堆栈溢出。 7年前关闭。 Improve thi
假设我有一个类型 Thing拥有国有属性(property)A | B | C , 和合法的状态转换是 A->B, A->C, C->A . 我可以写: transitionToA :: Thing
对具有 native 语言的建议(因此没有 FSM 生成工具)支持状态机开发和执行以及消息/信号的传递。这适用于电信,例如这种复杂程度的 FSM 的实现。 我考虑过 Erlang,但希望得到一些反馈、
Akka提供了两种重叠的方式来管理角色状态Finite State Machines和unbecome/become。他们各自的好处/缺点是什么?什么时候应该选择其中一个? 最佳答案 FSM是DSL,
我必须用 vhdl 编写一个带有计时器的 FSM。 我认为,您无需厌倦了解我的电路将做什么。 我只是想帮助我解决这个问题:从一种状态到另一种状态的每次变化,都会有一个(或多个)时钟周期延迟。问题是,我
我在我的项目中使用 Akka FSM 并打算添加持久性。直接的解决方案是使用 Persistent FSM( https://doc.akka.io/docs/akka/current/persist
我正在 java 类中实现有限状态机。我无法找到另一个例子,其中状态和事件是它们自己的对象(也许这是有原因的?),而且我不太相信我的解决方案,特别是因为我必须为 FSM 分配一个状态(实际管理器)然后
我想在 FSM 中的状态执行之间创建一个计时器间隔。 我现在所拥有的是非常基础的,因为我对编程还是很陌生。如果您能将任何可能的解决方案保持在基本水平附近,那就太好了。 public override
我是一名优秀的程序员,十分优秀!