gpt4 book ai didi

VHDL-2008 to_01 转换

转载 作者:行者123 更新时间:2023-12-05 00:44:00 28 4
gpt4 key购买 nike

在 VHDL-2008 中使用 to_01 转换函数时出现一些意外行为。我的期望是可以清楚地解释为高或低的向量位分别映射到“1”和“0”。剩余的向量位应转换为“0”位。但是,使用下面描述的代码,我将整个向量转换为全 '0'。

这种行为正确吗?或者这是模拟器软件(ALDEC Riviera-PRO)中的错误?

是否有任何符合我期望的 IEEE 函数,还是我必须编写自己的函数才能实现?

library ieee;
use ieee.std_logic_1164.all;

entity test_to_01 is
end entity test_to_01;

architecture rtl of test_to_01 is
signal s_test_in : std_logic_vector(8 downto 0) := "UX01ZWLH-";
signal s_test_out : std_logic_vector(8 downto 0);
begin
s_test_out <= to_01(s_test_in);
end architecture rtl;

enter image description here

最佳答案

观察到的行为是正确的行为。下面是关于这方面的一点历史。

在 2008 年,我们将所有强度降低操作传播到所有 std_logic 系列包。无论好坏,to_01 的历史实现都来自 numeric_std,并且完全按照现在的方式实现。以下是我在网上找到的较旧的实现:

function TO_01(S : SIGNED ; xmap : STD_LOGIC:= '0') return SIGNED is
variable RESULT: SIGNED(S'length-1 downto 0);
variable bad_element : boolean := FALSE;
alias xs : SIGNED(s'length-1 downto 0) is S;
begin
for i in RESULT'range loop
case xs(i) is
when '0' | 'L' => RESULT(i):='0';
when '1' | 'H' => RESULT(i):='1';
when others => bad_element := TRUE;
end case;
end loop;
if bad_element then
assert NO_WARNING
report "numeric_std.TO_01: Array Element not in {0,1,H,L}"
severity warning;
for i in RESULT'range loop
RESULT(i) := xmap; -- standard fixup
end loop;
end if;
return RESULT;
end TO_01;

VHDL WG 的主要指令之一是不破坏旧代码。在这种情况下,这个目标似乎提出了一个可能不太理想的实现。

如果您想要不同的东西,您可以随时将其提交给标准的下一次修订。它必须有一个不同的名称。请注意,我们目前正在关闭 VHDL-2018,因此这将是之后的修订版。

请注意,IEEE P1076 WG 是一个基于个人的工作组。这意味着有经验的用户(例如您自己)正在参与其中。通常,在标准修订中完成的工作量是巨大的。因此,我们总是需要更多积极的参与者。特别是在包装上工作。参见 eda-twiki.org 和 http://www.eda-twiki.org/cgi-bin/view.cgi/P1076/WebHome

关于VHDL-2008 to_01 转换,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/47430670/

28 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com