gpt4 book ai didi

vhdl - 对象已使用但未声明?

转载 作者:行者123 更新时间:2023-12-04 23:45:01 25 4
gpt4 key购买 nike

我有以下 VHDL 代码,它是一个项目的实体:

library ieee;
use ieee.std_logic_1164.all;
library work;
use work.typedef.all;

entity uc is
port(faaaa: in std_logic_vector(15 downto 0);
phi: in std_logic;
isDirect,isRam,jmp,store,NarOut,arpOut:out std_logic);
end entity uc;

architecture b8 of ua is
signal instt : std_logic_vector(15 downto 0);
signal bit7: std_logic;
begin
bit7<='0';
instt <= faaaa;
....
process(phi) is
....
end process;
end architecture b8;

错误说:

object "faaaa" is used but not declared



我在这里做错了什么?

最佳答案

您的实体名为 uc ,但架构b8ua .

关于vhdl - 对象已使用但未声明?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/30525009/

25 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com