gpt4 book ai didi

file - Prolog:获取与 at_end_of_stream 以检查文件结尾

转载 作者:行者123 更新时间:2023-12-04 23:41:56 24 4
gpt4 key购买 nike

我正在使用 prolog 读取文件,并想知道以下说法的区别:

processRead(Stream, ...) :- at_end_of_stream(Stream), !.

和:
processRead(Stream, ...) :- get(Stream, Ch), Ch is -1, !.

有没有?

最佳答案

第一件事!关注 @mat's advice !

你需要选择,
总是喜欢使用 at_end_of_stream/1 get/2 !

  • get/2已弃用。如果您有十年前的遗留代码,请迁移它。

    如果您的代码是新的,请永远不要使用它。绝不。一次都没有。
  • at_end_of_stream/1 定义标准。
  • 几乎每个现代 Prolog 处理器都支持 at_end_of_stream/1 -包括但不仅限于)
    GNU Prolog , SICStus Prolog , SWI Prolog , B-Prolog , 和 Eclipse CLP .
  • 关于file - Prolog:获取与 at_end_of_stream 以检查文件结尾,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/34812684/

    24 4 0
    Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
    广告合作:1813099741@qq.com 6ren.com