gpt4 book ai didi

fopen - 我怎么知道我在系统verilog中的当前路径?

转载 作者:行者123 更新时间:2023-12-04 23:22:06 26 4
gpt4 key购买 nike

我需要在我的系统 verilog 代码中打开一个文件,我需要知道它对我的相对路径才能使用 $fopen。所以首先,我需要知道我的立场。有没有办法知道我当前的目录路径? (通过使用 $display 或其他东西)

最佳答案

基于另一个问题how-do-i-read-an-environment-variable-in-verilog-system-verilog :

import "DPI-C" function string getenv(input string env_name);

module top;
initial begin
$write("env = %s\n", {getenv("HOME"), "/FileName"});
end
endmodule

工作目录应在 $PWD 中,因此对于您的问题,您可以使用 getenv("PWD")

关于fopen - 我怎么知道我在系统verilog中的当前路径?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/33394999/

26 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com