- html - 出于某种原因,IE8 对我的 Sass 文件中继承的 html5 CSS 不友好?
- JMeter 在响应断言中使用 span 标签的问题
- html - 在 :hover and :active? 上具有不同效果的 CSS 动画
- html - 相对于居中的 html 内容固定的 CSS 重复背景?
我正在尝试在 Modelsim 中执行回归测试。我调用一个 TCL 脚本来编译我的源文件并启动 vsim。我启动了一个 .do 文件,它运行了一系列测试平台,所有这些测试平台都输出结果文件。我要添加的是一个自动检查器,用于验证结果文件是否与已知的良好运行相匹配。问题是在启动modelsim 之后,TCL 脚本在执行检查器“results_pass.py”之前不会等待模拟完成。
set nice_pid [open "|$modelsim_dir/vsim.exe -do do_files/vsim.do -novopt -gui"]
cd ../../Scripts
set script_name "results_pass.py"
set tb_name "rcp"
call_python $script_name $tb_name
vwait forever
最佳答案
你试过VUnit吗? https://github.com/LarsAsplund/vunit
它是一个开源的 VHDL 测试框架,已经可以满足您的需求。
它可以并行运行测试以及使用不同的泛型值。
一个测试台可以有多个测试,这些测试可以在独立的模拟中运行,也可以在同一个模拟中运行。
它可以发出 Jenkins 可以理解的测试报告,其中包含测试输出、运行时间和状态。
它带有一个 VHDL 库的便利函数,例如 check_equal。
它具有完整的 VHDL 依赖性扫描,因此只需添加文件,VUnit 就会知道要增量编译什么以加快编辑/编译/运行周期。
可以运行用户定义的模拟后检查,以验证例如输出文件是否符合黄金数据。
除了批量运行之外,它还可以在带有单个标志的 GUI 中启动测试。
它支持 Modelsim 和 GHDL,Aldec 和 NVC 即将推出。
我个人用它来管理 200 多个测试用例。
免责声明我是主要作者之一。
它的创建是为了避免世界上的每个 VHDL 团队使用不同质量的内部脚本重新发明轮子。
关于tcl - 在继续 TCL 脚本之前如何等待 Modelsim Simulations 完成,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/30717730/
在 Fedora 22 64 位中安装了带有 Modelsim 的 Quartus 13.0。在 32 位中运行 Quartus,因为否则我会遇到很多很多问题。不过,我可以启动 Quartus、创建项
我正在寻找一种在 GUI 模式下在 ModelSim View 中切换网络叶名称的方法。换句话说,相当于按“切换叶名称 全名”按钮: 我知道添加短名称的方法。例如,我一直在使用这样的代码: add
您好,所有使用 Mentor Graphic Modelsim 工具的 SystemVerilog 专家。 我正在编写一个监控任务来处理一个简单的 PCI 单字写/读总线事件。出于某种原因,EDApl
我可以通过执行"file"->“最近的项目”来打开 Modelsim 项目文件。但是我不知道有任何其他方式来打开项目。如果我使用"file"->“打开”,它只会打开单个文件,而不是项目。如何打开项目?
我正在运行 ModelSim 10.3d,并且我在一个包中包含以下代码: package core_params_types is type array_1d_logic is array (
对不起,新手问题。 我正在尝试了解 FPGA 编程。 在我在 FPGA 板上花费 1000 美元之前: 如果我只想学习 Verilog,我可以完全在 Modelsim 中运行它吗? (我意识到有一些时
我在使用 ModelSim Student Edition 10.2c 运行 Verilog 项目时遇到问题。一切都没有错误地编译,但是我在运行时收到以下错误: # vsim -gui work.te
使用 Modelsim,我想测试一个代码,但一个信号始终未初始化。这里有一段代码用来解释 Modelsim 的问题: -- Signal Declaration signal shifter
我目前正在使用 Modelsim 10.1 和 ISE 13.4 并运行一个非常简单的测试平台。所有代码都是VHDL。 前几天我在使用 VHDL 的 assert 语句时遇到了麻烦:错误和警告被输出到
是否可以使用 Modelsim 在编译时定义一个 generic 值? 我需要编译一个包含 generate 语句的文件,这些语句根据我的 generic bool 值关闭和打开。 我没有成功尝试以下
我知道什么时候使用 report和 severity Modelsim 将仿真时刻显示为发送到控制台的消息的一部分。无论如何,是否可以将这个时间瞬间“获取”为字符串变量,以便我可以用这个“时间”字符串
我需要获取几个信号的值,以便根据仿真检查它们(仿真是在 Matlab 中进行的)。有很多值,我想将它们放入一个文件中,以便我可以在脚本中运行它并避免手动复制这些值。 有没有办法自动将多个信号的值打印到
我正在通过 ModelSim 运行一些 VHDL。每个错误和警告都有自己的错误代码(例如:(vcom-1292) Slice range direction "downto" specified in
我在verilog中做了一个低通滤波器。我也为此做了一个测试台。主要的Verilog代码似乎已编译,没有任何错误。但是,当我尝试编译测试平台时,遇到一个无法解决的错误。如果有人可以帮助我,我将不胜感激
我可以进行 ModelSim 模拟以在信号上显示文本(而不是数值)吗?我有几个状态机状态, localparam S_IDLE = 2'b00; localparam S_START = 2'b01
我正在使用 Ubuntu Linux 14.04 LTS 和 Altera Quartus 15.0 网络版,由于许可错误,我很难模拟我的设计。我正在为 VEEK-MT 设计一个 LCD_driver
我刚刚在 Ubuntu 16.04.1 上安装了最新版本的 ModelSim。但是我无法使用此命令启动软件 ./vsim。它总是说 bash: ./vsim: No such file or dire
我有一个 VHDL 包,它定义了一个函数(前向声明)和一个常量。常量的值由该函数计算,其主体位于包体中。 截至目前,ModelSim/QuestaSim 是唯一不喜欢此代码的工具。它需要 2 个包,所
我在代码中使用带符号的定点数。为了更容易验证这些值,我想看看它们在波形中代表的实数。例如,假设: // 1bit signal, 4bits integer, 4bits fraction
我正在为 Modelsim 上的 d 触发器编写 VHDL 代码,当我尝试对其进行仿真时出现错误: Error: (vsim-3601) Iteration limit reached at time
我是一名优秀的程序员,十分优秀!