gpt4 book ai didi

verilog - 从命令行使用 Quartus

转载 作者:行者123 更新时间:2023-12-04 22:05:34 27 4
gpt4 key购买 nike

我正在尝试从命令行在 Linux (Kubuntu 12.04 LTS) 上使用 Quartus II 13.0 (Free Web Package) 从 Verilog RTL 生成 Verilog 技术网表。我需要在一个项目中比较不同工具生成的数千个 Verilog 文件的综合结果。所以使用GUI并不是一个真正的选择..

例如,对于 Xilinx Vivado,我可以使用以下 TCL 命令来做到这一点:

read_verilog input.v
synth_design -part xc7k70t -top top_module
write_verilog output.v

我使用过的大多数 ASIC 工具都提供了类似的接口(interface)。

使用 Xilinx XST 会稍微复杂一些:

1.) 生成 work.xst 文件:
run -ifn work.prj ..some..more..options..

2.) work.prj 文件的生成:
verilog work "input.v"

3.)运行合成:
xst -ifn work.xst

4.)从verilog二进制生成verilog网表:
netgen -w -ofmt verilog work.ngc output

但是对于 Quartus II,我根本找不到任何关于如何使用命令行工具的文档。我能找到的只是图形 IDE 界面的文档。也许我只是在寻找错误的地方?

因此,在我开始使用 strace 和类似工具戳 Quartus II IDE 之前:是否有人从命令行使用 Quartus II 并能指出我正确的方向?

最佳答案

它隐藏得很好——Quartus-II 手册版本 13.0,第 2-10 页(或搜索 ASSIGNMENT_FILES)——生成一个示例 Makefile。它似乎有点腐烂 - 我已经删除了 ASSIGNMENT_FILES 的东西,并且标记是多余的(您可以检查日志文件),但这是一个开始,基本结构有效。

关于verilog - 从命令行使用 Quartus,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/17444691/

27 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com