gpt4 book ai didi

vhdl - VHDL 中的通用包

转载 作者:行者123 更新时间:2023-12-04 21:20:22 28 4
gpt4 key购买 nike

是否有可能有一个通用的包?
这意味着当我实例化实体时,我提供了一些泛型,包将依赖于它。

我有一个块,我想多次使用,每次使用不同的包(即不同的参数)

我必须使用包,因为我想使用数组数组,而我只能使用包来做到这一点。

最佳答案

是的,但那是 VHDL-2008特征。因此,您是否能够使用它取决于您的工具。

这个功能真的很强大。

这是an example .

关于vhdl - VHDL 中的通用包,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/13152727/

28 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com