gpt4 book ai didi

VHDL 分配文字

转载 作者:行者123 更新时间:2023-12-04 18:07:49 25 4
gpt4 key购买 nike

我试图在 VHDL 中使用具有明确定义的位宽的无符号整数。似乎 VHDL 不喜欢我试图将文字值分配给这些定义为的类型:

variable LCD_DATA: unsigned(19 downto 0) := 0;

但是在我的 IDE (Quartus) 中,我收到一条提示“UNSIGNED 类型与整数文字不匹配”。我也收到了向这样定义的类型添加数字的投诉。我需要做的首选更改是什么?

最佳答案

查看其他答案,并注意对于非零文字,您可能想要执行以下操作:

variable LCD_DATA: unsigned(19 downto 0) := to_unsigned(n, 20);

用文字代替 n。这当然也适用于 n=0,但它不如 (others => '0') 整洁。 .

关于VHDL 分配文字,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/22471505/

25 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com