- html - 出于某种原因,IE8 对我的 Sass 文件中继承的 html5 CSS 不友好?
- JMeter 在响应断言中使用 span 标签的问题
- html - 在 :hover and :active? 上具有不同效果的 CSS 动画
- html - 相对于居中的 html 内容固定的 CSS 重复背景?
您好,我正在尝试使用锁相环来为 VGA Controller 生成时钟。我运气不好,决定制作自己的时钟,然后工作正常。我让 VGA Controller 工作。回到 PLL,尽管我仍然无法选择 PLL 来给我输出。我做了一个小测试模型来模拟它。
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
ENTITY PLL4 IS
PORT (
clk : IN std_logic;
a : IN std_logic;
rst : IN std_logic:='0';
x : OUT std_logic
);
END ENTITY PLL4;
ARCHITECTURE A1 OF PLL4 IS
COMPONENT PLL_4 IS
PORT(
clk_in_clk : in std_logic; -- clk
rst_reset : in std_logic; -- reset
clk_out_clk : out std_logic -- clk
);
END COMPONENT PLL_4;
SIGNAL clk25 : std_logic;
BEGIN
CLK_25 : PLL_4 PORT MAP (clk,rst,clk25);
x <= a and clk25;
END ARCHITECTURE A1;
当我用 mod sim 模拟这个时,我得到以下结果
我从未见过 PLL 时钟输出。谁能给我一些建议。
--更新--添加来自 CLK_25 的信号后:PLL 我现在在 Modsim 上得到以下信息。第一个很好地连接到实例化以及一个 clk 到 clk_in_clk,但是 clk_out_clk 的值一直在变化。见下文:
这让我觉得我遇到的问题是使用 Qsys 创建的 PLL 模型。Qsys 生成的 .vhd 中包含的模型如下:
-- PLL_4.vhd
-- Generated using ACDS version 13.0sp1 232 at 2016.02.09.16:46:16
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity PLL_4 is
port (
clk_in_clk : in std_logic := '0'; -- clk_in.clk
rst_reset : in std_logic := '0'; -- rst.reset
clk_out_clk : out std_logic -- clk_out.clk
);
end entity PLL_4;
architecture rtl of PLL_4 is
component PLL_4_altpll_0 is
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
read : in std_logic := 'X'; -- read
write : in std_logic := 'X'; -- write
address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
readdata : out std_logic_vector(31 downto 0); -- readdata
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
c0 : out std_logic; -- clk
areset : in std_logic := 'X'; -- export
locked : out std_logic; -- export
phasedone : out std_logic -- export
);
end component PLL_4_altpll_0;
begin
altpll_0 : component PLL_4_altpll_0
port map (
clk => clk_in_clk, -- inclk_interface.clk
reset => rst_reset, -- inclk_interface_reset.reset
read => open, -- pll_slave.read
write => open, -- .write
address => open, -- .address
readdata => open, -- .readdata
writedata => open, -- .writedata
c0 => clk_out_clk, -- c0.clk
areset => open, -- areset_conduit.export
locked => open, -- locked_conduit.export
phasedone => open -- phasedone_conduit.export
);
end architecture rtl; -- of PLL_4
最佳答案
我无法直接模拟你的VHDL代码,因为你没有贴出PLL_4_altpll_0
的代码。因此,我使用 Quartus-II 的 MegaWizard 插件管理器创建了一个合适的 PLL。无论如何,如果我直接在 ModelSim 中模拟 PLL4
实体并在信号 clk
和 1
上应用时钟来发出信号 a
,我得到了和你一样的输出:clk25
is undefined.
但如果我使用单独的测试台,它会按预期工作。您必须在 Quartus II 中设置测试台,菜单 Assignements -> Settings -> Simulation -> Compile test bench -> Test Benches -> New。这是我的测试台代码。应用重置是可选的,因此我将其保留为 0
。
library ieee;
use ieee.std_logic_1164.all;
entity PLL4_tb is
end entity PLL4_tb;
architecture sim of PLL4_tb is
-- component ports
signal clk : std_logic := '1';
signal a : std_logic;
signal rst : std_logic;
signal x : std_logic;
begin -- architecture sim
-- component instantiation
DUT: entity work.PLL4
port map (
clk => clk,
a => a,
rst => rst,
x => x);
-- clock generation
clk <= not clk after 10 ns;
-- waveform generation
WaveGen_Proc: process
begin
rst <= '0'; -- no reset required
a <= '1';
wait;
end process WaveGen_Proc;
end architecture sim;
这是模拟输出:
关于VHDL - DE0 - QUARTUS II PLL 在 modsim 中不显示输出,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/35297697/
我注意到我可以在某些地方*这些语言之间进行选择: de - 德语 - Deutsch de-DE - Deutsch(Deutschland) - 德语(德国) *Android 初始设备设置,语言设
我运行以下代码并得到评论中显示的结果。我知道 == 和 .equals() 之间的区别。我不明白的是为什么我在第二行的代码与第三行的代码有不同的结果。 String de = "de"; //
在我的 WPF 应用程序中,CurrentUICulture 未被 Windows 正确接管或错误地存储在 Windows 中。 Windows 中的区域和语言设置在我找到“Deutsch (Schw
我有一个项目,我只能在 下添加代码-tag 但重要的是语言在 SEO 和其他一些东西的标题中。 所以我的问题是: 什么是优先级/排名 对比 最佳答案 根据 Google Multi-region
通过 Kendo.culture('de-DE') 更改应用程序中的文化,默认设置为 en-US。但是,当文化为“de-DE”时,进行简单的文化更改会弄乱值,它将网格值乘以 100。 有谁知道值乘以
const EUR = new Intl.NumberFormat("de-DE", { style: "currency", currency: "EUR" }) const a = EUR.for
我在我的 asp.net 应用程序中使用德语 UI Culture。我正在根据下拉列表中选择的语言更改我的应用程序的 UI 区域性,在下拉列表中选择的索引更改我正在使用此代码 Thread.Curre
我正在通过建立一份CosmWasm合同来教自己Rust。。游戏的定义是。(Slot是另一个结构,而GameStatus是一个枚举,前缀都是它们自己的#[派生...宏。)。我定义了一个游戏地图,如下所示
使用 el = de.query(By.css('h2')).nativeElement; 有什么好处吗?通过 el = de.nativeElement.querySelector('h2'); 的
在 pear.phpunit.de/PHPUnit 中安装 PHPUnit 未知 channel pear.phpunit.de 时出错 无效的包名称/包文件 "Debian-4 操作系统上的 pea
'[abc]%' 搜索以 a、b 和 c 开头的单词,但我想搜索两个不同的两个字符 SB 和 TB。 以下代码返回 nth。 SELECT v.sku FROM sylius_product_vari
Caused by: java.lang.IllegalArgumentException: El mapeo de filtro especifica un nombre desconocido d
本文整理了Java中de.schildbach.pte.ZvvProvider类的一些代码示例,展示了ZvvProvider类的具体用法。这些代码示例主要来源于Github/Stackoverflow
我有一个正则表达式 [a-zA-Z][a-z] 我必须更改此正则表达式,以便正则表达式不接受以“de”、“DE”、“dE”和“De”开头的字符串。我无法使用后视或前视,因为我的系统不支持是吗? 最佳答
我有一个正则表达式 [a-zA-Z][a-z] 我必须更改此正则表达式,以便正则表达式不接受以“de”、“DE”、“dE”和“De”开头的字符串。我无法使用后视或前视,因为我的系统不支持是吗? 最佳答
我有两个这样的字符串 string s = "abcdef"; string t = "def"; 我想从 s 中删除 t。我可以这样做吗? s = s - t? 编辑 我将有两个字符串 s 和 t,
我正在制作一个解密维吉尼亚密码的程序。用户只能给出字母键。 for (int i = 0, counter = strlen(text); i < counter; i++) {
希望获得 Java 遵循的一些幕后内存引用和规则。 这是一段代码。基本上,此类用于实例化一些其他对象 (MyOtherObject),然后将此对象的 doClose() 方法的引用发送到 Vector
我有一个包含 的对象 公共(public)类 PositionsChannelApplicationGroups { public PositionsChannelApplicationGroups(
我整个星期都在用一个具有挑战性的设计来解决它,我正在完成我的最后一件抵抗运动,今天还剩一个小时, 我这里有一个菱形/蜂窝状的用户界面 http://jsfiddle.net/z42wg/25/ .di
我是一名优秀的程序员,十分优秀!