- html - 出于某种原因,IE8 对我的 Sass 文件中继承的 html5 CSS 不友好?
- JMeter 在响应断言中使用 span 标签的问题
- html - 在 :hover and :active? 上具有不同效果的 CSS 动画
- html - 相对于居中的 html 内容固定的 CSS 重复背景?
您好,我正在尝试使用锁相环来为 VGA Controller 生成时钟。我运气不好,决定制作自己的时钟,然后工作正常。我让 VGA Controller 工作。回到 PLL,尽管我仍然无法选择 PLL 来给我输出。我做了一个小测试模型来模拟它。
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
ENTITY PLL4 IS
PORT (
clk : IN std_logic;
a : IN std_logic;
rst : IN std_logic:='0';
x : OUT std_logic
);
END ENTITY PLL4;
ARCHITECTURE A1 OF PLL4 IS
COMPONENT PLL_4 IS
PORT(
clk_in_clk : in std_logic; -- clk
rst_reset : in std_logic; -- reset
clk_out_clk : out std_logic -- clk
);
END COMPONENT PLL_4;
SIGNAL clk25 : std_logic;
BEGIN
CLK_25 : PLL_4 PORT MAP (clk,rst,clk25);
x <= a and clk25;
END ARCHITECTURE A1;
当我用 mod sim 模拟这个时,我得到以下结果
我从未见过 PLL 时钟输出。谁能给我一些建议。
--更新--添加来自 CLK_25 的信号后:PLL 我现在在 Modsim 上得到以下信息。第一个很好地连接到实例化以及一个 clk 到 clk_in_clk,但是 clk_out_clk 的值一直在变化。见下文:
这让我觉得我遇到的问题是使用 Qsys 创建的 PLL 模型。Qsys 生成的 .vhd 中包含的模型如下:
-- PLL_4.vhd
-- Generated using ACDS version 13.0sp1 232 at 2016.02.09.16:46:16
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity PLL_4 is
port (
clk_in_clk : in std_logic := '0'; -- clk_in.clk
rst_reset : in std_logic := '0'; -- rst.reset
clk_out_clk : out std_logic -- clk_out.clk
);
end entity PLL_4;
architecture rtl of PLL_4 is
component PLL_4_altpll_0 is
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
read : in std_logic := 'X'; -- read
write : in std_logic := 'X'; -- write
address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address
readdata : out std_logic_vector(31 downto 0); -- readdata
writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
c0 : out std_logic; -- clk
areset : in std_logic := 'X'; -- export
locked : out std_logic; -- export
phasedone : out std_logic -- export
);
end component PLL_4_altpll_0;
begin
altpll_0 : component PLL_4_altpll_0
port map (
clk => clk_in_clk, -- inclk_interface.clk
reset => rst_reset, -- inclk_interface_reset.reset
read => open, -- pll_slave.read
write => open, -- .write
address => open, -- .address
readdata => open, -- .readdata
writedata => open, -- .writedata
c0 => clk_out_clk, -- c0.clk
areset => open, -- areset_conduit.export
locked => open, -- locked_conduit.export
phasedone => open -- phasedone_conduit.export
);
end architecture rtl; -- of PLL_4
最佳答案
我无法直接模拟你的VHDL代码,因为你没有贴出PLL_4_altpll_0
的代码。因此,我使用 Quartus-II 的 MegaWizard 插件管理器创建了一个合适的 PLL。无论如何,如果我直接在 ModelSim 中模拟 PLL4
实体并在信号 clk
和 1
上应用时钟来发出信号 a
,我得到了和你一样的输出:clk25
is undefined.
但如果我使用单独的测试台,它会按预期工作。您必须在 Quartus II 中设置测试台,菜单 Assignements -> Settings -> Simulation -> Compile test bench -> Test Benches -> New。这是我的测试台代码。应用重置是可选的,因此我将其保留为 0
。
library ieee;
use ieee.std_logic_1164.all;
entity PLL4_tb is
end entity PLL4_tb;
architecture sim of PLL4_tb is
-- component ports
signal clk : std_logic := '1';
signal a : std_logic;
signal rst : std_logic;
signal x : std_logic;
begin -- architecture sim
-- component instantiation
DUT: entity work.PLL4
port map (
clk => clk,
a => a,
rst => rst,
x => x);
-- clock generation
clk <= not clk after 10 ns;
-- waveform generation
WaveGen_Proc: process
begin
rst <= '0'; -- no reset required
a <= '1';
wait;
end process WaveGen_Proc;
end architecture sim;
这是模拟输出:
关于VHDL - DE0 - QUARTUS II PLL 在 modsim 中不显示输出,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/35297697/
我有很多 FPGA 项目和一些共享的通用组件。我正在寻找一种通过外部文件添加这些组件的方法,这样我就可以轻松地将新组件添加到我的所有项目中。 我已经搬走了 set_global_assignment
我正在尝试从命令行在 Linux (Kubuntu 12.04 LTS) 上使用 Quartus II 13.0 (Free Web Package) 从 Verilog RTL 生成 Verilog
我想在 Quartus 中运行一个仿真。所以我在“分配”菜单中分配了一个测试平台。我的测试平台包括我的 DUT(D) 和一个额外的组件 (E),它仅用于仿真(因此该组件包含不可合成的语句)。如果我从
我创建了一个实体,其中 quartus 成功识别了 RAM,并为它实例化了一个 RAM 宏功能。如果我可以从文件初始化那个 RAM,那就太好了。我找到了制作此类文件(.mif 文件)的教程。现在我已经
我们正在考虑将一些代码移动到 CPLD 或 FPGA 中以使其更快。我之前曾使用过 Xilinks 及其工具套件,但出于某种原因,我们决定这次使用 Altera,所以我正在努力适应 Quartus I
我正在使用 Altera Quartus 2 做一个定制的 8 位处理器,在我的笔记本电脑上编译需要很长时间。我只使用模拟并在原理图(框图)和 VHDL 中制作我的处理器。现在编译需要大约 10 分钟
在 Fedora 22 64 位中安装了带有 Modelsim 的 Quartus 13.0。在 32 位中运行 Quartus,因为否则我会遇到很多很多问题。不过,我可以启动 Quartus、创建项
很简单的问题。给出以下代码: module main( output reg [1:0][DATA_WIDTH-1:0] dOut, input wire [1:0][DATA_W
我有一个QuartusII中的FPGA设计,还有一个有一些闲置能力的持续集成服务器。 现在我想为我的 FPGA 设计构建一个测试套件,其中输入信号由专用组件生成,并根据预期行为检查输出信号。 有没有办
我正在尝试在 Xubuntu 14.04 64 位版本上安装 Quartus 13.1。下载的文件是一个 .run 安装程序,我通过执行以下命令使其可执行: sudo chmod +x install
在Altera Quartus ii 15.0软件中,Tools->Options->Preferred Text Editor选项卡,用于更改打开文件时的编辑器。 vim 有一个选项,命令行如下所示
我正在使用 Quartus Prime 精简版,我想像这样在 std_logic_vector 上使用一元运算符 nand library ieee; use ieee.std_logic_1164.
什么是 .rbf 文件,我如何从 Windows 上的 Quartus 输出文件 .sof 生成它们? 最佳答案 使用 Quartus II GUI 转到 File => Convert Progra
Fmax我的代码中的参数报告为:No Paths to report .因此,我试图使用 set_input_delay 设置与定义时钟的输入信号关系。 .但是,错误报告指出: Verilog HDL
我已经从http://www.vhdl.org/fphdl/下载了浮点软件包,并执行了以下操作: 我在项目中包含了math_utility_pkg.vhd,fixed_pkg_c.vhd和float_
我正在学习 verilog,当我只是查看 verilog 代码不知道电路如何工作时,我会转到 RTL 查看器查看数字逻辑。但是在这段代码中出现了一个奇怪的组件,我不知道这个组件是什么。是触发器、多路复
我在 Ubuntu 20.04 上安装了 Quartus Prime Lite 21.1,它运行良好。 我也有 ModelSim 20.1.1,它工作正常 我已经在 Quartus Prime 上设置
您好,我正在尝试使用锁相环来为 VGA Controller 生成时钟。我运气不好,决定制作自己的时钟,然后工作正常。我让 VGA Controller 工作。回到 PLL,尽管我仍然无法选择 PLL
是否有脚本可以通过 Quartus Programmer 在我的 FPGA 上使用 TCL 脚本上传 *.pof 文件? 最好是从命令行,因为我想将它集成到我的自定义软件中。 最佳答案 如果您只需要一
是否有脚本可以通过 Quartus Programmer 在我的 FPGA 上使用 TCL 脚本上传 *.pof 文件? 最好是从命令行,因为我想将它集成到我的自定义软件中。 最佳答案 如果您只需要一
我是一名优秀的程序员,十分优秀!