gpt4 book ai didi

vhdl - VHDL 中的增量枚举类型

转载 作者:行者123 更新时间:2023-12-04 17:43:11 29 4
gpt4 key购买 nike

在 vhdl 中我可以定义我自己的枚举类型并创建这种类型的信号:

type tp is (red,green,blue,yellow);
signal sg: tp := red;

但现在我想要一个 for 循环遍历所有这些状态。有点像

for i in sg'min to sg'max loop
<something>
end loop;

在 C++ 中有用于此目的的迭代器。但在 VHDL 中,我所能找到的只是 sg'pos,它将信号转换为我可以递增的数字。但我似乎找不到将数字转换回信号的方法。

最佳答案

这是你需要的:

for i in tp'left to tp'right loop
<something>
end loop;

`left 和`right 称为类型属性。它们在您的测试平台中很有用,但不推荐用于您的设计。这是因为合成器可能会更改枚举的顺序,这可能会给您带来问题。

关于vhdl - VHDL 中的增量枚举类型,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/53520701/

29 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com