gpt4 book ai didi

vhdl - 如何定义记录类型的默认值

转载 作者:行者123 更新时间:2023-12-04 13:42:14 27 4
gpt4 key购买 nike

是否可以为记录类型或通常的任何用户定义类型定义默认值?

类似于(伪 VHDL):

type t_foo is record
a : integer := 4;
b : std_logic := '0';
end record;

或者
subtype glarp is integer range 0 to 10 := 5;

编辑:将 glarp 从类型更改为子类型定义。

最佳答案

我冒昧地将评论变成了答案。
记录类型的初始值可以由该类型的常量定义。

type t_foo is record
a : integer;
b : std_logic;
end record;

constant INIT_T_FOO : t_foo := (a => 4, b => '0');

signal bar : t_foo := INIT_T_FOO;

这种方法的一个缺点是用户必须确保每次类型为 t_foo 的对象都设置正确的初始值。被定义为。使用常量来定义初始值可以节省一些输入,并使以后更容易更改初始值。但同样,不可能以这种方式强制执行特定的初始值,这一切都归结为编码纪律和人为错误,因此这是一个次优的解决方案。

关于vhdl - 如何定义记录类型的默认值,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/55435401/

27 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com