gpt4 book ai didi

c - 如何编译和运行一个调用C函数的verilog程序?

转载 作者:行者123 更新时间:2023-12-04 11:31:12 27 4
gpt4 key购买 nike

我不是要使用 DPI 调用,而是一个简单的 Verilog 程序,它在内部使用其 PLI 来调用用 C 语言编写的函数。我不知道静态链接。我正在使用 edaplayground。

谁能告诉我应该使用哪个模拟器以及应该切换到链接 Verilog 和 C 吗?我应该在 Verilog 中包含 C 文件吗?

示例代码如下

// C function implementation
#include <stdio.h>
void hello() {
printf ("\nHello world\n");
}

// SV function call
module sv_pli ();

initial begin
$hello;
#10 $finish;
end

endmodule

我想知道是否需要注册 pli,因为目前未检测到 pli call hello。

最佳答案

我将您的 C 代码放在一个名为 test.c 的新文件中,并将 SV-DPI 添加到您的 Verilog 代码中,从 $hello 任务中删除美元符号以成为 DPI 调用。

查看模拟器输出,它应该是示例代码的预期结果。

关于c - 如何编译和运行一个调用C函数的verilog程序?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/32030804/

27 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com