gpt4 book ai didi

initialization - 十六进制的 VHDL 初始化向量(长度不是 4 的倍数)

转载 作者:行者123 更新时间:2023-12-04 09:15:15 24 4
gpt4 key购买 nike

例如,我有一个长度为 10 的向量。
如何以十六进制初始化它。 (合成工具提示大小不匹配,因为它认为十六进制值是 4 的倍数)

signal v : std_logic_vector (9 downto 0)    := x"11A";

非常感谢!
尼贡

最佳答案

x"11A"是“十六进制位串文字”。在 VHDL-2008 之前,这些必须是 4 位的倍数,因此您会看到问题。 VHDL-2008 取消了这个限制,所以你现在可以写 10x"11A" .不过,我不知道 2008 年有多少工具支持。

关于initialization - 十六进制的 VHDL 初始化向量(长度不是 4 的倍数),我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/16225235/

24 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com