gpt4 book ai didi

multidimensional-array - 使用单个索引的 VHDL 二维数组初始化

转载 作者:行者123 更新时间:2023-12-04 08:41:43 25 4
gpt4 key购买 nike

type kelvin_Array is array(0 to 3, 0 to 1) of integer
signal array_int1 :kelvin_Array;
signal array_int2 :kelvin_Array;

begin
array_int1 (0,0) <= 5; --using 2 indexes

我想要的是

array_int1(0) <= (5,3);

请告诉我如何实现这一目标。

问候,开尔文

最佳答案

看起来你想要一个 一维数组 两个整数的一维数组,例如:

  type matthew_Array is array(0 to 1) of integer;
type matthew_Array_Array is array(0 to 9) of matthew_Array;

signal array_int1 : matthew_Array_Array;

begin

array_int1(0) <= (5,3);

https://www.edaplayground.com/x/5Lz8

关于multidimensional-array - 使用单个索引的 VHDL 二维数组初始化,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/44652782/

25 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com