gpt4 book ai didi

system-verilog - 宽度可配置时如何编写脉冲宽度systemverilog断言

转载 作者:行者123 更新时间:2023-12-04 06:48:59 24 4
gpt4 key购买 nike

场景是: 信号 active 可以是 1 个周期、2 个周期、3 个周期或 4 个周期宽度,具体取决于模块的 config[1:0] 输入

为此编写属性的最简单方法是:

property p_PropA;
@(posedge clk) $rose active ##config ~active;
endproperty

但它在语法上是错误的。编写此断言的正确方法是什么?

最佳答案

您需要使用局部变量,参见IEEE Std 1800-2012 § 16.10 局部变量

这是一个简单的例子:

property p_PropA;
int count;
@(posedge clk)
($rose(active),count=config) |->
(active,count--)[*] ##1 (~active && count==0);
endproperty

关于system-verilog - 宽度可配置时如何编写脉冲宽度systemverilog断言,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/30630773/

24 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com