gpt4 book ai didi

vhdl - 赛灵思 vhdl 中的 std_logic_vector 与整数综合

转载 作者:行者123 更新时间:2023-12-04 05:12:30 29 4
gpt4 key购买 nike

我正在处理 8 位像素值......为了便于编码,我想使用 conv_integer 来转换这个 8 位 std_logic_vector。它会导致任何合成问题吗?它会降低硬件的速度......

最佳答案

不,整数合成就好了。 Don't use conv_integer though - 那是来自一个旧的非标准库。

您要use ieee.numeric_std;然后 to_integer(unsigned(some_vector));
如果您仍然想访问位,并将向量视为数字,则使用有符号或无符号类型 - 它们定义位向量(仍然可以具有 -Z 等),其行为与数字一样,所以你可以写 unsigned_vector <= unsigned_vector + 1 .

关于vhdl - 赛灵思 vhdl 中的 std_logic_vector 与整数综合,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/14721965/

29 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com