gpt4 book ai didi

system-verilog - 在systemverilog中的模块接口(interface)上连接解压阵列的最简单方法

转载 作者:行者123 更新时间:2023-12-04 05:01:17 26 4
gpt4 key购买 nike

下面是一个例子

module a_mod ( u );
input bit [2:0] u [1:0];
...
endmodule

module b_mod ();

bit [2:0] c1, c2;
a_mod a_mod_inst (
.u ( {c1,c2} ) // won't work
);

endmodule

进行 u[0] == c2 和 u[1] == c1 的连接的最简单方法是什么?

顺便说一句,我知道我可以做我在下面展示的,但是寻找一个更优雅的选择
bit [2:0] tmp_u [1:0];
assign tmp_u[0] = c2;
assign tmp_u[1] = c1;

a_mod a_mod_inst (
.u ( tmp_u ) // works for sure
);

最佳答案

尝试:

bit [2:0] c1, c2;
a_mod a_mod_inst (
.u ( '{c1,c2} ) // note the single quote before the open curly bracket
);

请参阅 IEEE1800-2012 第 10.9 节。 '{用于分配或传递未打包的数组。

关于system-verilog - 在systemverilog中的模块接口(interface)上连接解压阵列的最简单方法,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/16134255/

26 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com