gpt4 book ai didi

system-verilog - 用于握手的 SVA

转载 作者:行者123 更新时间:2023-12-04 04:34:27 24 4
gpt4 key购买 nike

我正在尝试为握手过程编写 SVA 断言。

在我的搜索中,我发现了以下内容:

property p_handshake(clk,req,ack);
@(posedge clk)
req |=> !req [*1:max] ##0 ack;
endproperty
assert property(p_handshake(clock,valid,done));

但是,在有效周期变高后,我的“完成”信号可以出现多个周期。您如何使此语句确保在断言有效后的任何时候都断言“完成”,而不会取消断言有效?

最佳答案

$rose(req) |=> req[*1:$] ##0 ack;
$rose将在 req 的上升沿开始断言. [*1:$]意味着对于 1 到无限时钟的范围,左侧必须为真。您可以使用 [+]相当于 [*1:$] .

编写检查器的其他一些风格是:
$rose(req) |-> req[*1:$] ##1 (ack && req);
$rose(req) |-> ##1 req throughout ack[->1];

关于system-verilog - 用于握手的 SVA,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/17528350/

24 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com