gpt4 book ai didi

VHDL,时钟进程可以引入锁存器吗?

转载 作者:行者123 更新时间:2023-12-04 04:33:32 25 4
gpt4 key购买 nike

例如,在非时钟过程中,必须在每种情况下设置所有信号以防止实现锁存。
但这也是计时过程中的问题吗?我一直认为情况并非如此,但我的一个 friend 告诉我,我必须在所有情况下设置所有信号,以防止合成即使在这里也引入锁存器。

最佳答案

正确实现的时钟进程将创建寄存器,而非时钟进程将创建锁存器。

寄存器不同于锁存器,尤其是我们预测它们时序的能力;以及在 FPGA 中得到更好的支持,所以这通常是一件好事。

“正确实现”意味着只有时钟和(可能)重置)在敏感列表中。

关于VHDL,时钟进程可以引入锁存器吗?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/20145381/

25 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com