gpt4 book ai didi

floating-point - 使用 Altera Quartus II 将 *.vhdl 编译成库

转载 作者:行者123 更新时间:2023-12-04 04:26:32 24 4
gpt4 key购买 nike

我正在尝试使用“浮点和定点包”作为 VHDL 滤波器设计的一部分。我使用 Altera Quartus II 作为开发环境。我从网站下载了文件包:http://www.vhdl.org/fphdl/ ,现在可在 http://web.archive.org/web/20160305202256/http://www.vhdl.org/fphdl/ 获得

在他们的用户指南中,提到了以下内容:

'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl'
should be compiled into a library called "ieee_proposed".

但是,我不太清楚如何使用 Altera Quartus II 工具将提到的 *.vhdl 文件编译成库。

如有任何提示,我们将不胜感激。

最佳答案

使用 Altera Quartus II GUI,您可以添加“fixed_float_types_c.vhdl”,'fixed_pkg_c.vhdl' 和 'float_pkg_c.vhdl' 文件通过“项目导航器”选项卡称为"file"。见下图。

enter image description here

添加文件时,您可以在“属性”下指定目标库。见下图。

enter image description here

您还可以修改项目的 Quartus II 设置 (".qsf") 文件,并且添加行:

set_global_assignment -name VHDL_FILE fixed_float_types_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE fixed_pkg_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE float_pkg_c.vhdl -library ieee_proposed

但是,您可能想确定是否需要编译到“ieee_proposed”库中,否则您可以将它们与其他文件一起编译到“work”库中。

关于floating-point - 使用 Altera Quartus II 将 *.vhdl 编译成库,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/19580207/

24 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com