gpt4 book ai didi

Vhdl代码模拟

转载 作者:行者123 更新时间:2023-12-04 01:59:31 27 4
gpt4 key购买 nike

我正在尝试模拟以下代码:

entity schal is port ( SW  : in bit_vector(7 downto 0);
LED : out bit_vector(7 downto 0));
end schal;


architecture BEHAVIOUR of schal is
begin

INOUT_PROS : process (SW)
begin
LED <= SW;

end process INOUT_PROS;
end BEHAVIOUR;

我写了这个 do 文件

vsim work.schal
restart
view wave
radix hex
add wave -height 25 -radix default sim:/schal/*

force SW 01000001
run 20ns
force SW 01000000
run 20ns

这是我得到的:

enter image description here

如您所见,模拟仅影响第一位而不影响整个向量?知道我应该如何调整 do 文件 以获得正确的模拟吗?

最佳答案

我认为您的 force 命令没有使用正确的语法。您正在尝试强制使用二进制值,但执行此操作的正确方法是 force SW 2#010000012# 指定二进制值。

在 ModelSim 中,转到帮助 > 文档 > PDF Bookcase,然后打开“命令引用手册”。这包含所有命令的文档,包括 force

关于Vhdl代码模拟,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/32905637/

27 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com