gpt4 book ai didi

vhdl - VHDL中两个不同位数的乘法

转载 作者:行者123 更新时间:2023-12-03 22:54:07 25 4
gpt4 key购买 nike

我有两个数字 A 和 B,大小不同,我需要使用 VHDL 将它们相乘。我不知道将它们相乘的确切逻辑。

最佳答案

如果您想将两个相乘 std_logic_vector ,然后 *会失败,
std_logic_vector只是 std_logic 的数组元素,但不
有一个继承的数字表示。

所以看一看
ieee.numeric_std VHDL
包裹。这定义了 unsignedsigned假设典型的类型
数组的数值表示,以及这些类型的运算符,
包括 * .使用这个包你可以:

use ieee.numeric_std.all;
...
c <= std_logic_vector(unsigned(a) * unsigned(b));

请注意,对于 * c'lengtha'length + b'length .

顺便提一句。欢迎使用 Stack Overflow,请花一些时间在 Stack Overflow
Help Center ,所以你可以得到更好的答案
future ,避免被否决或关闭答案。

关于vhdl - VHDL中两个不同位数的乘法,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/28779813/

25 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com