作者热门文章
- html - 出于某种原因,IE8 对我的 Sass 文件中继承的 html5 CSS 不友好?
- JMeter 在响应断言中使用 span 标签的问题
- html - 在 :hover and :active? 上具有不同效果的 CSS 动画
- html - 相对于居中的 html 内容固定的 CSS 重复背景?
我有一个来自 ADC 转换器的 8 位输入信号( std_logic_vector(7 downto 0)
)。我必须将它们转换为 16 位信号( std_logic_vector(15 downto 0
)),以便将 16 位信号处理到 16 位系统。
最佳答案
如果将 8 位值解释为有符号(2 的补码),那么通用和标准的 VHDL 转换方法是使用 IEEE numeric_std 库:
library ieee;
use ieee.numeric_std.all;
architecture sim of tb is
signal slv_8 : std_logic_vector( 8 - 1 downto 0);
signal slv_16 : std_logic_vector(16 - 1 downto 0);
begin
slv_16 <= std_logic_vector(resize(signed(slv_8), slv_16'length));
end architecture;
unsigned
来完成。而不是
signed
,因此使用此代码:
slv_16 <= std_logic_vector(resize(unsigned(slv_8), slv_16'length));
关于vhdl - 如何在 VHDL 中将 8 位转换为 16 位?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/17451492/
我是一名优秀的程序员,十分优秀!