gpt4 book ai didi

unit-testing - 为 VHDL 设计运行多个测试平台

转载 作者:行者123 更新时间:2023-12-03 22:44:55 24 4
gpt4 key购买 nike

每当我创建 VHDL 设计时,我倾向于拥有许多模块。然后这些模块中的每一个都连接到一个主文件,因此所有内容都被合成。但我想为这些模块中的每一个编写单独的测试台,并为全局流程编写一个。如果我能做一些事情将所有这些测试平台链接在一起并让它们连续运行,从而一次测试我的整个设计,那就太好了。我怎么能这样做?我喜欢使用 GHDL 和断言。是否可以创建一个 super 测试平台?或者迭代它们的shell脚本会更好吗?

最佳答案

简短的回答是VUnit .

由开源 VHDL 模拟器 GHDL 正式支持还附带OSVVM - 一组用于随机值生成和测试覆盖率的测试台帮助程序包。

您应该考虑使用 GHDL 0.34dev(从源代码构建),因为它对 vunit 和 OSVVM 进行了一些修复。

所有资源都在 GitHub 存储库中可用:

  • GHDL -> https://github.com/ghdl/ghdl
  • VUnit -> https://github.com/VUnit/vunit
  • OSVVM -> https://github.com/OSVVM/OSVVM
  • 关于unit-testing - 为 VHDL 设计运行多个测试平台,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/34970079/

    24 4 0
    Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
    广告合作:1813099741@qq.com 6ren.com