- html - 出于某种原因,IE8 对我的 Sass 文件中继承的 html5 CSS 不友好?
- JMeter 在响应断言中使用 span 标签的问题
- html - 在 :hover and :active? 上具有不同效果的 CSS 动画
- html - 相对于居中的 html 内容固定的 CSS 重复背景?
我在使用 GHDL ( http://ghdl.readthedocs.io/en/latest/ ) 来模拟我的 VHDL 设计时遇到问题。所以,当我使用命令 ghdl -e Averager_tb
用 GHDL 编译测试平台,我收到警告:
Averager_tb.VHD:33:3:warning: component instance "uut" is not bound
Averager_tb.VHD:11:14:warning: (in default configuration of averager_tb(behaviour))
ghdl -a Averager_tb.VHD # the test bench file is Averager_tb.VHD
ghdl -e Averager_tb # the entity for the test bench is Averager_tb
ghdl -r Averager_tb
-- Entity Declaration in Design
entity Averager is
port (
clk : in std_logic;
ClockEnable : in std_logic;
Averager_In : in std_logic_vector(7 downto 0);
Averager_Out : out std_logic_vector(7 downto 0)
);
end Averager;
-- TEST BENCH
architecture behaviour of Averager_tb is
signal X : real := 0.0; -- a real math variable initialized to 0
signal sine : real := 0.0; -- a real math variable initialized to 0
component Averager
port(
clk : in std_logic;
ClockEnable : in std_logic;
Averager_In : in std_logic_vector(7 downto 0);
Averager_Out : out std_logic_vector(7 downto 0)
);
end component;
signal clk : std_logic := '0';
signal ADC_clk : std_logic := '0';
signal Input : std_logic_vector(7 downto 0);
signal FPGAOutput : std_logic_vector(7 downto 0);
signal int_sine : integer;
constant clk_period : time := 5 ns;
begin
-- Instantiate the Unit Under Test (UUT)
UUT : Averager
port map (
clk => clk,
ClockEnable => ADC_clk,
Averager_In => Input,
Averager_Out => FPGAOutput
);
...
最佳答案
想通了,这是一个愚蠢的错误,我忘了编译设计以及测试台。解决方案是像这样编译和运行:
ghdl -a Averager_Bettertb.VHD
ghdl -a Averager.VHD
ghdl -e Averager_tb
ghdl -r Averager_tb #--stop-time=10us
关于vhdl - "component instance "uut "is not bound"使用 GHDL 模拟器模拟测试台时,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/38502857/
我有一个 VHDL 程序,但我无法用 GHDL 详细说明它,因为要详细说明的实体在一个包中。如何使用 GHDL 详细说明包中的实体? 编辑: 感谢您的回答,过了一段时间我发现包中的代码类似于一个接口(
在用 VHDL 测试一个简单的生命游戏实现时,在打印出“测试结束”消息后,空测试台的 GHDL 模拟以 100% 的 CPU 使用率挂起。 代码如下: ----- Package ----------
几个月以来,我一直在使用 ghdl 进行学习。 现在我被迫使用 windows 并尝试在那里也使用 ghdl 和 gtkwave。 我的问题是:在我安装了 ghdl 之后,我试图编译一些代码。与: g
我写了一些vivado RTL,然后在实体的端口上添加了一些vhdl属性来定义Xilinx Vivado工具的接口(interface),如下所示: library ieee; use iee
我有一个 VHDL 测试台,我想将 32 位二进制字写入文件以进行测试。下面是一个最小的、完整的、可验证的示例。 当使用 GHDL(下面的命令)执行时,会在指示的行生成溢出。如果该行被注释掉,则执行成
我编写了一些 vhdl 代码,其中包含半加法器的实现。它只有几行代码,没有任何错误。 当我用 ghdl 编译它时,它会生成相应 vhdl 文件的 .o 文件。但是当我执行ghdl -e filenam
晚上好, 我想下载 GHDL,所以我做了这个命令: sudo apt-get install ghdl 但是我有一条消息说没有任何版本的 GHDL 包是 disponible 的,但它存在于数据库中。
我按照此步骤在我的 Debian 中安装了 GHDL 编译器,但现在我需要卸载此编译器才能安装 x64 版本,但我不能。 By downloading the binaries and unpacki
我是 ghdl 模拟器的新手,在 Windows 上使用 ghdl。我在我的电脑上安装了 ghdl (ghdl-0.33-win32) 并尝试了简单的加法器代码并且它有效。我目前需要模拟一些我使用过
我在使用 GHDL ( http://ghdl.readthedocs.io/en/latest/ ) 来模拟我的 VHDL 设计时遇到问题。所以,当我使用命令 ghdl -e Averager_tb
我试图用 GHDL 模拟 Xilinx GTXE2 收发器。在 GTXE2_CHANNEL.vhd 中,我收到一个错误,即在库“ieee”中找不到“std_logic_arith”。 首先,这是我的机
我刚刚写了一个简单的 VHDL 程序。我将文件保存为 .vhd 文件。然后我编译它 ghdl -a test.vhd 然后构建和可执行文件 ghdl -e test 最后尝试运行它 ghdl -r t
我正在尝试使用 ghdl 编译器编译 vhdl 代码。但是我缺少两个 util 库: util.misc_conv_pkg and util.vstring. 因此此代码不起作用 LIBRARY ut
我正在尝试从由 modelsim 或 ghdl 执行的模拟中转储内部信号。一切正常使用: 对于modelsim,添加vhdl源,然后编译: vsim -novopt work.uut_testbenc
我是一名优秀的程序员,十分优秀!