gpt4 book ai didi

syntax - 来自 vhdl 程序员的 verilog 中的泛型

转载 作者:行者123 更新时间:2023-12-03 10:41:00 27 4
gpt4 key购买 nike

verilog中泛型的等价物是什么?
例如

entity my_entity
generic(a : integer);
port(x : in std_logic; y out std_logic);
end entity my_entity;

通用的等价物是什么?
if generate 和 for generate 的等价物是什么?

最佳答案

泛型在 Verilog 中称为参数。它们在模块中通过以下行声明:

parameter DATA_WIDTH = 8;
parameter ADDR_WIDTH = 8;

实例化可以单独细化参数值:
my_ram_impl #( 
.DATA_WIDTH(16),
.ADDR_WIDTH(8)
)
ram_instance(
.clk(clk),
.addr(addr),
.data(data),
.cs(cs),
.we(we)
);

使用这些类似于 C 的指令进行条件综合:
`ifdef  SYM
...
`else
...
`endif

或者,更灵活 generate像这样的结构:
generate
if(cond)
...
else
...
endgenerate

关于syntax - 来自 vhdl 程序员的 verilog 中的泛型,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/38622969/

27 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com