gpt4 book ai didi

logic - 4 位加减法逻辑

转载 作者:行者123 更新时间:2023-12-03 10:02:52 24 4
gpt4 key购买 nike

我几乎成功地实现了 n 位加减法器。它工作正常,除了一件事。

在无符号减法之后进位不起作用,正如我所料。

Here在第 11 页上是我构建的电路。在我看来,我已经以正确的方式构建了它。它是一个 4 位加法器/减法器。

所以为了理解我在无符号进位方面的麻烦,让我们计算 1111 - 1111 的无符号。那么 15 - 15 是 0,所以它应该是 0000。什么是无符号进位?

输入:

a <= "1111";
b <= "1111";
s <= '1';

s 唯一要做的就是构建 b 的 2 的补码。我们开始做吧。
b = 1111
1'st complement
b = 0000
2's complement
b = 0001

现在我们可以执行加法 1111 + 0001。
 1111
0001
=====
10000

这就是我的(思想)问题。进位(第 5 位)是 1。我用溢出进位计算了 15-15 = 0,我完全不明白。

另一方面,我可以计算出 1110 - 1111 (14-15) 应该是 -1,它不能用无符号数表示。所以在这里我希望溢出位。
b = 1111
2's complement => 0001

1110
0001
=====
01111

所以它告诉我 14-15 是 15(这并不奇怪)但溢出标志设置为 0。

我是否误解了减法溢出位,或者是 unsigned_cout xor sub丢失的?

我的 VHDL 代码:

--全加器
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity fad is
port(
a,b,cin : in std_logic;
o, cout: out std_logic);
end fad;



architecture behavior of fad is
begin
o <= a xor b xor cin;
cout <= (a and b)or (cin and (a xor b));
end behavior;

--加减法
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity add_sub is
generic(N : natural := 4);
port(
a, b : in std_logic_vector(N-1 downto 0);
sub : in std_logic;
o : out std_logic_vector(N-1 downto 0);
scout, ucout : out std_logic);

end add_sub;

architecture behavior of add_sub is
signal carries : std_logic_vector(N downto 0);
signal bXorSub : std_logic_vector(N-1 downto 0);
component fad is
port( a, b, cin : in std_logic;
o, cout : out std_logic
);
end component;
begin
carries(0) <= sub;
subtraction: for i in 0 to N-1 generate
bXorSub(i) <= b(i) xor sub;
end generate subtraction;

RCA: for i in 0 to N-1 generate
fadN: fad port map(
a => a(i),
b => bXorSub(i),
cin => carries(i),
o => o(i),
cout => carries(i+1));
end generate RCA;
ucout <= carries(N);
scout <= carries(N) xor carries(N-1);
end behavior;

最佳答案

好的,我睡了,现在一切都清楚多了;-)

经过 未签名 减法进位必须为“1”,否则会溢出,这就是原因。

纹波进位加法器由更多(此处为 4 个)全加器组成。所以我们从来没有真正做减法。然而在 签名 另外,我们可以计算例如4 + (-1) .

未签名 数字不能代表负数。所以实际上,我根本无法计算4 - 1 .我没有任何减法器,我不能代表一个负数。

那么我该如何执行这样的操作。因为它显然有效。 4 - 1 = 3(0100 - 0001 = 0011)。

唯一的方法,减少未签名 只有一个加法器的数字,就是溢出它。事实上,我们不能表示所有正数是解决方案(4 位是 无符号 最大 15)。

例如,我们用 4 位计算 15 - 15 未签名 数字。 15 - 15 是 0。那么我们在“1111”上加上什么来得到“0000”?只是一个“0001”,它是 15 的二进制补码。我们记住:

2's complement = invert the number and add 1
"1111" => "0000"
"0000" + "0001" = "0001".

然后我们在我们的 15 上加上这个数字。
 1111
0001
=====
10000

在那里,如您所见,是(右)溢出。

因此,如果计算正确执行,则无符号减法的最后一个进位位必须设置为“1”。否则,如果它是'0',减去的数应该是负数,无符号数不能表示。

关于logic - 4 位加减法逻辑,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/20385786/

24 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com