- html - 出于某种原因,IE8 对我的 Sass 文件中继承的 html5 CSS 不友好?
- JMeter 在响应断言中使用 span 标签的问题
- html - 在 :hover and :active? 上具有不同效果的 CSS 动画
- html - 相对于居中的 html 内容固定的 CSS 重复背景?
我刚刚写了这个:
library ieee;
use ieee.std_logic_1164.all;
entity and_gate is
port(
input_1 : in std_logic;
input_2 : in std_logic;
and_result : out std_logic;
);
end and_gate;
architecture rtl of and_gate is
signal and_gate : std_logic;
begin
and_gate <= input_1 and input_2;
and_result <= and_gate;
end rtl;
当我编译它时,modelsim 编译器给我这个错误:
** Error: C:/modeltech64_10.5/examples/and_gate.vhd(8): near ")": (vcom-1576) expecting IDENTIFIER.
我搜索并尝试了一些解决方案,但仍然出现错误。
最佳答案
这个
and_result : out std_logic;
应该是这个
and_result : out std_logic
关于vhdl - modelsim 说 : "near " )": (vcom-1576) expecting IDENTIFIER." while compiling,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/46501171/
出现看似无法解释的语法错误,指出 std_logic 未定义,即使它在代码的早期编译时也是如此!第一个错误发生在实体的开头,即第 37 行。我相信这与创建我自己的包有关,但这是我以前做过的事情,从未出
我正在使用 Modelsim 的 VHDL 编译器 (vcom) 对 SublimeText (VHDL 2008) 进行代码检查。在初始化一组标准逻辑向量时,我收到以下警告: vcom: warni
我有各种各样的 VCOM 设备(FTDI、CP210x 等)与我的程序交互,但在我开始与它们交谈之前,我需要确认它们确实是那些设备。 FTDI 的 D2XX 库允许我将产品/序列号字符串与 COM 编
我刚刚写了这个: library ieee; use ieee.std_logic_1164.all; entity and_gate is port( input_1 : in std_log
我以前来这里是因为C问题和/或Java。 这些天,我在学习VHDL,目前陷入一个非常小的问题。我想也许有些新鲜的眼睛可以给我解决方案。我已经很接近了。 这是一个简单的1-BIT ADDER [效果很好
我正在尝试使用 LPCOpen 提供的 VCOM 示例测试我的 LPC4370 cortex-m4 micro(LPC Link2 评估板)和我的计算机之间的通信。我只是想从 matlab 发送数据,
我是一名优秀的程序员,十分优秀!