gpt4 book ai didi

syntax-error - VHDL : Error in when conditional

转载 作者:行者123 更新时间:2023-12-03 08:27:00 26 4
gpt4 key购买 nike

这个问题是非常基本的,但是我刚刚开始学习VHDL,但我无法弄清错误。

因此,我正在使用Quartus Prime Lite,并出现错误

Error (10500): VHDL syntax error at Package_42.vhd(30) near text "when"; expecting ";"



编译以下代码时。

任何想法可能有什么问题吗?

谢谢!
library ieee;
use ieee.std_logic_1164.all;

package Package_42 is
subtype StepType is std_logic_vector(3 downto 0);

function Problem_42(a : in std_logic;
b : in std_logic;
j : in StepType;
k : in StepType) return StepType;
end;

package body Package_42 is
function Problem_42(a : in std_logic;
b : in std_logic;
j : in StepType;
k : in StepType) return StepType is

variable Step : StepType := "----";
begin
Step := "0100" when a = '1' and b = '0' else <-- ERROR is HERE!!!
j when a = '1' else
k when b = '1' else
"----";

return Step;
end;
end package body;

最佳答案

首先,请确保您已打开VHDL-2008开关。

如果这不起作用,请针对您的工具提交错误报告,然后使用if语句重新编写代码。

关于syntax-error - VHDL : Error in when conditional,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/49143338/

26 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com