gpt4 book ai didi

syntax - 全局声明在 Verilog 2001 语法中是非法的!

转载 作者:行者123 更新时间:2023-12-03 02:48:46 26 4
gpt4 key购买 nike

我用 verilog 写了一些小东西:

`define LW 6'b100011

`define SW 6'b101011

parameter [3:0]
i_fetch = 4'b0001,
decode_rr = 4'b0010,
mem_addr = 4'b0100,
alu_exec = 4'b1000;

我收到此错误:错误:test.v(5): (vlog-2155) 全局声明在 Verilog 2001 语法中是非法的。

我做错了什么?我正在使用 ModelSim XE III/Starter 6.4b - 自定义 Xilinx 版本!

最佳答案

您的参数语句必须位于模块内:

module a_module ();

parameter a_parameter = 4;

endmodule

事实上,verilog 中的大多数内容都必须位于模块 block 内。

关于syntax - 全局声明在 Verilog 2001 语法中是非法的!,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/2674589/

26 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com