gpt4 book ai didi

interface - 在 SystemVerilog 中为接口(interface)内的接口(interface)指定 modport

转载 作者:行者123 更新时间:2023-12-02 22:46:47 30 4
gpt4 key购买 nike

我有两个带有 modports 的接口(interface)和另一个结合了这两个接口(interface)的接口(interface),如下所示:

interface my_interface1
// Some signals
modport tb_to_dut (
// Signal directions specified
)
endinterface

interface my_interface2
// Some signals
modport tb_to_dut (
// Signal directions specified
)
endinterface

interface my_combined_interface
my_interface1 inf1
my_interface2 inf2
endinterface

我想为组合接口(interface)指定一个 modport,该接口(interface)又调用/使用各个接口(interface)的 modport。思路如下图。

interface my_combined_interface
my_interface1 inf1
my_interface2 inf2

modport tb_to_dut (
inf1.tb_to_dut,
inf2.tb_to_dut
)
endinterface

这当前会导致语法错误。有没有一种方法可以在组合接口(interface)中指定 modport,以便它渗透到各个接口(interface)?

最佳答案

不幸的是,SystemVerilog 接口(interface)缺乏组合品质,并且无法分段指定接口(interface)。如今,实现这一目标的唯一方法是通过将较低级别的接口(interface)信号提升到顶级来使组合接口(interface)变得平坦。我会避免在测试平台中完全使用 modports。

关于interface - 在 SystemVerilog 中为接口(interface)内的接口(interface)指定 modport,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/37445330/

30 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com