gpt4 book ai didi

verilog - 配置 ModelSim 模拟以显示文本

转载 作者:行者123 更新时间:2023-12-02 17:15:55 27 4
gpt4 key购买 nike

我可以进行 ModelSim 模拟以在信号上显示文本(而不是数值)吗?我有几个状态机状态,

localparam S_IDLE  = 2'b00; 
localparam S_START = 2'b01;
localparam S_STOP = 2'b10;

有没有办法在信号上显示 S_IDLE 例如,而不是 00?谢谢。

最佳答案

您可以做的一件事是创建一个包含 ascii 字符串的信号,然后在模拟窗口中将该信号的基数更改为 ascii:

reg [8*8-1:0] mytextsignal;
always@(state) begin
case(state)
S_IDLE : mytextsignal = " S_IDLE";
S_START: mytextsignal = " S_START";
S_STOP: mytextsignal = " S_STOP";
default: mytextsignal = " UNKNOWN";
endcase
end

它应该在波形查看器中显示为可读文本。

关于verilog - 配置 ModelSim 模拟以显示文本,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/18337417/

27 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com