- html - 出于某种原因,IE8 对我的 Sass 文件中继承的 html5 CSS 不友好?
- JMeter 在响应断言中使用 span 标签的问题
- html - 在 :hover and :active? 上具有不同效果的 CSS 动画
- html - 相对于居中的 html 内容固定的 CSS 重复背景?
我正在使用 Ubuntu Linux 14.04 LTS 和 Altera Quartus 15.0 网络版,由于许可错误,我很难模拟我的设计。我正在为 VEEK-MT 设计一个 LCD_driver terasic 的液晶触摸屏与 Cyclone IV EP4CE115由阿尔特拉.
老实说,我对 ModelSim-Altera 这样的仿真软件没有太多经验,但我确实知道如何使用 .vwf 文件并用它们进行仿真,我也知道如何使用signaltap逻辑分析仪。创建 usinversity 程序 .vwf 文件后,我编译该项目,按运行功能模拟,然后出现一个包含以下内容的窗口:
Determining the location of the ModelSim executable...
Using: /home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bin
To specify a ModelSim executable directory, select: Tools -> Options -> EDA Tool Options Note: if both ModelSim-Altera and ModelSim executables are available, ModelSim-Altera will be used.
**** Generating the ModelSim Testbench ****
quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog --write_settings_files=off test5 -c test5 --vector_source="/path/to/Altera/projects/test/5/test5.vwf" --testbench_file="/path/to/Altera/projects/test/5/simulation/qsim/test5.vwf.vt"
Inconsistency detected by ld.so: dl-close.c: 762: _dl_close: Assertion `map->l_init_called' failed! Info: *******************************************************************Info: Running Quartus II 64-Bit EDA Netlist Writer Info: Version 15.0.0 Build 145 04/22/2015 SJ Web Edition Info: Copyright (C) 1991-2015 Altera Corporation. All rights reserved. Info: Your use of Altera Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Altera Program License Info: Subscription Agreement, the Altera Quartus II License Agreement,
Info: the Altera MegaCore Function License Agreement, or other
Info: applicable license agreement, including, without limitation,
Info: that your use is for the sole purpose of programming logic
Info: devices manufactured by Altera and sold by Altera or its
Info: authorized distributors. Please refer to the applicable
Info: agreement for further details. Info: Processing started: Sun Aug 9 22:18:46 2015Info: Command: quartus_eda --gen_testbench --check_outputs=on --tool=modelsim_oem --format=verilog --write_settings_files=off test5 -c test5 --vector_source=/path/to/Altera/projects/test/5/test5.vwf --testbench_file=/path/to/Altera/projects/test/5/simulation/qsim/test5.vwf.vtWarning (201007): Can't find port "h_counter" in designWarning (201007): Can't find port "h_counter[10]" in designWarning (201007): Can't find port "h_counter[9]" in designWarning (201007): Can't find port "h_counter[8]" in designWarning (201007): Can't find port "h_counter[7]" in designWarning (201007): Can't find port "h_counter[6]" in designWarning (201007): Can't find port "h_counter[5]" in designWarning (201007): Can't find port "h_counter[4]" in designWarning (201007): Can't find port "h_counter[3]" in designWarning (201007): Can't find port "h_counter[2]" in designWarning (201007): Can't find port "h_counter[1]" in designWarning (201007): Can't find port "h_counter[0]" in designWarning (201007): Can't find port "v_counter" in designWarning (201007): Can't find port "v_counter[9]" in designWarning (201007): Can't find port "v_counter[8]" in designWarning (201007): Can't find port "v_counter[7]" in designWarning (201007): Can't find port "v_counter[6]" in designWarning (201007): Can't find port "v_counter[5]" in designWarning (201007): Can't find port "v_counter[4]" in designWarning (201007): Can't find port "v_counter[3]" in designWarning (201007): Can't find port "v_counter[2]" in designWarning (201007): Can't find port "v_counter[1]" in designWarning (201007): Can't find port "v_counter[0]" in designWarning (201007): Can't find port "HSD_s" in designWarning (201007): Can't find port "VSD_s" in designInfo (201000): Generated Verilog Test Bench File /path/to/Altera/projects/test/5/simulation/qsim/test5.vwf.vt for simulationInfo: Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 25 warnings Info: Peak virtual memory: 1088 megabytes Info: Processing ended: Sun Aug 9 22:18:47 2015 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 Completed successfully.Completed successfully.
**** Generating the functional simulation netlist ****
quartus_eda --write_settings_files=off --functional=on --flatten_buses=off --simulation --tool=modelsim_oem --format=verilog --output_directory="/path/to/Altera/projects/test/5/simulation/qsim/" test5 -c test5
Inconsistency detected by ld.so: dl-close.c: 762: _dl_close: Assertion `map->l_init_called' failed! Info: *******************************************************************Info: Running Quartus II 64-Bit EDA Netlist Writer Info: Version 15.0.0 Build 145 04/22/2015 SJ Web Edition Info: Copyright (C) 1991-2015 Altera Corporation. All rights reserved. Info: Your use of Altera Corporation's design tools, logic functions Info: and other software and tools, and its AMPP partner logic Info: functions, and any output files from any of the foregoing Info: (including device programming or simulation files), and any Info: associated documentation or information are expressly subject Info: to the terms and conditions of the Altera Program License Info: Subscription Agreement, the Altera Quartus II License Agreement,
Info: the Altera MegaCore Function License Agreement, or other
Info: applicable license agreement, including, without limitation,
Info: that your use is for the sole purpose of programming logic
Info: devices manufactured by Altera and sold by Altera or its
Info: authorized distributors. Please refer to the applicable
Info: agreement for further details. Info: Processing started: Sun Aug 9 22:18:53 2015Info: Command: quartus_eda --write_settings_files=off --functional=on --flatten_buses=off --simulation=on --tool=modelsim_oem --format=verilog --output_directory=/path/to/Altera/projects/test/5/simulation/qsim/ test5 -c test5Info (204019): Generated file test5.vo in folder "/path/to/Altera/projects/test/5/simulation/qsim//" for EDA simulation toolInfo: Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings Info: Peak virtual memory: 1093 megabytes Info: Processing ended: Sun Aug 9 22:18:55 2015 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:01 Completed successfully.**** Generating the ModelSim .do script ****
/path/to/Altera/projects/test/5/simulation/qsim/test5.do generated.
Completed successfully.
**** Running the ModelSim simulation ****
/home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bin/vsim -c -do test5.do
/home/bdoronnb/Downloads/Quartus/15.0/ModelSim/modelsim_ase/bin/../linux/vish: error while loading shared libraries: libXft.so.2: cannot open shared object file: No such file or directory Error.
感谢任何帮助。
最佳答案
Eureka !我在谷歌上搜索了以下文本:加载共享库时出错:libXft.so.2:无法打开共享对象文件:没有这样的文件或目录错误。
我发现了(感谢 Qiu 作为好吧)我需要为 ModelSim-Altera 软件使用的 64 位操作系统安装 32 位软件包。以下是输入 Ubuntu 终端的正确命令:
sudo apt-get install libxft2 libxft2:i386 lib32ncurses5
问题解决了!
关于linux - ModelSim-Altera 错误,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/31908525/
我一直试图在一个单独的“ mytypes.vhd”文件中声明我的类型,如下所示: library ieee; use ieee.std_logic_1164.all; package mytypes
我正在使用 Ubuntu Linux 14.04 LTS 和 Altera Quartus 15.0 网络版,由于许可错误,我很难模拟我的设计。我正在为 VEEK-MT 设计一个 LCD_driver
我被支持在软件中实现两种版本的有限脉冲响应算法,一种算法采用浮点格式,另一种算法采用定点格式。现在我认为,如果我只对函数使用浮点变量,那么算法将用 float 完成: 算法应该按照规范工作 Befor
在 Fedora 22 64 位中安装了带有 Modelsim 的 Quartus 13.0。在 32 位中运行 Quartus,因为否则我会遇到很多很多问题。不过,我可以启动 Quartus、创建项
我正在用一个小算法修改固件文件 (.jic) JTAG 间接配置文件,但更改文件内的数据使其无法使用,因为文件中某处有一个校验和必须更新。 我需要找到 .jic 文件中的校验和在哪里,并破译使用的算法
我有一个QuartusII中的FPGA设计,还有一个有一些闲置能力的持续集成服务器。 现在我想为我的 FPGA 设计构建一个测试套件,其中输入信号由专用组件生成,并根据预期行为检查输出信号。 有没有办
我在 LinuxMint 17.3 操作系统下的 PC 上安装了 Quartus II 64 位。我对此没有任何问题。但是我不能用 ModelSim-Altera 运行仿真。我收到消息“未找到 Mod
我正在尝试根据 instructions 在我的 Altera DE2-115 FPGA 上运行 Linux .显然第一部分有效: $ quartus_pgm -m jtag -c USB-Blast
什么是 .rbf 文件,我如何从 Windows 上的 Quartus 输出文件 .sof 生成它们? 最佳答案 使用 Quartus II GUI 转到 File => Convert Progra
我研究 Altera OpenCL 已经有一段时间了,通过将计算部分转移到 FPGA 来改进繁重的计算程序。我设法执行了 Altera 提供的矢量加法示例,并且似乎工作正常。我查看了 Altera O
我已经从http://www.vhdl.org/fphdl/下载了浮点软件包,并执行了以下操作: 我在项目中包含了math_utility_pkg.vhd,fixed_pkg_c.vhd和float_
你好, 我正在研究包含 M9K block 存储器的 Stratix III FPGA,其内容在开机时方便地初始化为零。这非常适合我的应用。 有没有办法在不对 FPGA 进行电源循环/刷新/等操作的情
我有一个基本的双稳态代码,我编译它没有任何错误,但是当我想在我点击 run(f9) 后添加波形时,我的 altera 程序没有做任何事情...... 这是我的代码: module bistable(i
到目前为止,我还没有找到任何方法来执行类似于 Xilinx 针对 Altera FPGA 的 RLOC 限制的操作。 有人知道如何做到这一点吗? 例如将两个 FF 放置在相同或相邻的 LAB 中 最佳
我正在尝试使用“浮点和定点包”作为 VHDL 滤波器设计的一部分。我使用 Altera Quartus II 作为开发环境。我从网站下载了文件包:http://www.vhdl.org/fphdl/
我正在使用 Altera DE2 FPGA 板和 verilog,使用它们设计了一个简单的 CPU。我需要使用开发板的 VGA 输出打印寄存器的值。 我该怎么办? 最佳答案 恐怕这条路很长。 首先,您
我正在使用 Altera DE2 FPGA 板和 verilog,使用它们设计了一个简单的 CPU。我需要使用开发板的 VGA 输出打印寄存器的值。 我该怎么办? 最佳答案 恐怕这条路很长。 首先,您
你好!我对 Linux 非常陌生,并且正在努力以“root 用户”身份登录。我的下图显示了我的尝试。我现在属于什么类型的用户?如何以 root 用户身份登录? 这是我现在正在尝试运行的项目: 最佳答案
所有,我正在为自定义 Cyclone V SOC 板修改带有 meta-altera 层的 Yocto Jethro 2.0 分支,但无法理解如何修改设备树和设置文件系统位置.我相信 dts 位于 .
我已经查看了所有以前的问题,似乎没有人有问题 和我一样简单。另外我在网上搜索并找不到解决方案。 我是 VHDL 新手,正在尝试编译提供的简单示例 由 Altera 提供,如下所示: library i
我是一名优秀的程序员,十分优秀!