gpt4 book ai didi

file-io - 如何在verilog中逐行读取文本文件?

转载 作者:行者123 更新时间:2023-12-02 12:13:48 32 4
gpt4 key购买 nike

我有一个 SREC 文件,它是一个简单的文本文件,我想在 verilog 中逐行读取它。我怎样才能做到这一点?

最佳答案

以下内容读取文件,每个时钟周期读取 1 行:预期数据格式为每行一个十进制数。

integer               data_file    ; // file handler
integer scan_file ; // file handler
logic signed [21:0] captured_data;
`define NULL 0

initial begin
data_file = $fopen("data_file.dat", "r");
if (data_file == `NULL) begin
$display("data_file handle was NULL");
$finish;
end
end

always @(posedge clk) begin
scan_file = $fscanf(data_file, "%d\n", captured_data);
if (!$feof(data_file)) begin
//use captured_data as you would any other wire or reg value;
end
end

关于file-io - 如何在verilog中逐行读取文本文件?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/16630319/

32 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com