gpt4 book ai didi

compiler-errors - VHDL 内部信号声明导致驱动程序错误

转载 作者:行者123 更新时间:2023-12-02 11:02:33 26 4
gpt4 key购买 nike

这里有一个简单的代码,解决了编译器无法解析 net "led_int[0]"的多个常量驱动程序的问题。

architecture bdf_type of Test is 
signal led_int : STD_LOGIC_VECTOR(4 downto 0);

component misc
port (
reset_reset_n : IN STD_LOGIC;
userleds_external_connection_export : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
end component;
begin
b2v_M1 : misc
port map (
reset_reset_n => Nios_Reset_n,
userleds_external_connection_export => led_int
);

led_int(0) <= '0';
UserLed <= led_int;
end architecture;

为什么我会收到相同的错误消息,但这里是 错误 (10028):无法在 Test.vhd(11) 解析网络“led_int[0]”的多个常量驱动程序?

我该如何解决这个简单的问题?

最佳答案

您有两个用于 led_in(0) 信号的驱动程序。
led_int(0) <= '0';不断拉低和userleds_external_connection_export => led_int根据 misc 模块中的内容将其拉高或拉低。

在 vhdl 中,一个信号不允许有两个驱动程序。如果您不需要两个驱动程序,则需要在它们之间添加一些逻辑 - 例如 or门,and门,多路复用器或类似的。

关于compiler-errors - VHDL 内部信号声明导致驱动程序错误,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/33140972/

26 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com