gpt4 book ai didi

Verilog,我可以为always block 内的多个位分配一个位值吗

转载 作者:行者123 更新时间:2023-12-02 10:10:56 25 4
gpt4 key购买 nike

下面是代码:

always @ (C[n-1])
begin
C[2*n-1:n]=C[n-1];
end

这可能吗?如果没有,我该怎么办?基本上它正在执行符号扩展。

最佳答案

在 Verilog 中复制位的语法是 {COUNT{bits}}。在你的情况下类似 {n{C[n-1]}}

关于Verilog,我可以为always block 内的多个位分配一个位值吗,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/9286671/

25 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com