gpt4 book ai didi

system-verilog - ModelSim 是否支持程序 block ?

转载 作者:行者123 更新时间:2023-12-02 08:36:21 26 4
gpt4 key购买 nike

当使用 ModelSim 10.1d 运行以下简单代码时

program test;

initial begin
$display("hello world");
end

endprogram

我看到加载设计时出错。该问题可在此处重现:http://www.edaplayground.com/s/4/807

我知道 ModelSim 不支持 SV 断言/覆盖,但是 program block 呢?

最佳答案

ModelSim 不支持 Questa 支持的以下 SystemVerilog 结构:

  1. 程序 block
  2. 断言
  3. 封面组
  4. 随机化()

无论如何,我不建议任何用户使用program block 。参见 http://go.mentor.com/programblocks

关于system-verilog - ModelSim 是否支持程序 block ?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/20941271/

26 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com