gpt4 book ai didi

arrays - VHDL 中的可综合多维数组

转载 作者:行者123 更新时间:2023-12-02 08:09:04 40 4
gpt4 key购买 nike

我需要在我的设计中使用多维数组来表示矩阵。我尝试了两个可用的选项:

  1. 声明数组的数组

    type t11 is array (0 to c1_r2) of std_logic_vector(31 downto 0);
    type t1 is array (0 to r1) of t11; --r1*c1_r2 matrix
  2. 多维数组。

    type matrix  is array (natural range <>, natural range <>)
    of std_logic_vector(31 downto 0);

但是,在这两种情况下,我在 xilinx 中进行的综合后仿真都会给出错误“仅在一维数组上允许切片名称”。在可综合的 vhdl 设计中使用多维数组的正确方法是什么?欢迎任何意见。

我正在使用 Xilinx ISE 附带的 XST 合成器。我正在索引 i 和 j,因为我的矩阵维度是 m * n * 32。

实体中我的网络a_in

    a_in: in  matrix (0 to size - 1, 0 to size - 1);

修改为

    a_in : in STD_LOGIC_VECTOR3 ( 1 downto 0 , 1 downto 0 , 31 downto 0 );

在我的程序中,我从 k 和 m 的两个生成语句内的矩阵访问值,如下所示:

    add_instx: add 
port map (
a => a_in(k,m),
b => b_in(k,m),
clk => clk,
sclr => clr,
ce => start,
result => temp_out(k,m),
rdy => add_over(k,m)
);

我的 a_in 测试台输入如下

    a_in <= (("00111111100000000000000000000000", "00000000000000000000000000000000"),("00000000000000000000000000000000", "00111111100000000000000000000000"));

我的综合生成了以下类型的警告:Xst:387 - 附加到网络的 KEEP 属性可能会阻碍时序优化。删除此属性可能会获得更好的结果。但是,我还没有设置任何保留属性,并且我不知道在哪里寻找该属性。请帮忙!非常感谢。

很抱歉没有添加完整的代码。请在下面找到代码和包。

    library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.mat_pak.all;


entity newproj is
generic ( size: natural := 2 );
port (
clk: in std_logic;
clr: in std_logic;
start: in std_logic;
a_in: in matrix (0 to size - 1, 0 to size - 1);
b_in: in matrix (0 to size - 1, 0 to size - 1);
aplusb: out matrix (0 to size - 1, 0 to size - 1);
parallel_add_done: out std_logic);
end newproj;

architecture Behavioral of newproj is
COMPONENT add --This is a 32 bit floating point add IP core
PORT (
a : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
clk : IN STD_LOGIC;
sclr : IN STD_LOGIC;
ce : IN STD_LOGIC;
result : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
rdy: OUT STD_LOGIC
);
END COMPONENT;

signal temp_out: matrix (0 to size - 1, 0 to size - 1) := (others => (others => (others => '0')));
signal add_over: bmatrix (0 to size - 1, 0 to size - 1) := (others => (others => '0'));

begin

g0:
for k in 0 to mat_dim generate
g0x:
for m in 0 to mat_dim generate
add_instx: add
port map (
a => a_in(k,m),
b => b_in(k,m),
clk => clk,
sclr => clr,
ce => start,
result => temp_out(k,m),
rdy => add_over(k,m)
);
end generate;
end generate;

aplusb <= temp_out;

p1_add:
process (add_over)
variable check_all_done: std_logic;
begin
check_all_done := '1';
for k in 0 to mat_dim loop
for m in 0 to mat_dim loop
check_all_done := check_all_done and add_over(k)(m);
end loop;
end loop;
parallel_add_done <= check_all_done;
end process;

end Behavioral;

这里使用的包是:

    library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.ALL;


package mat_pak is

CONSTANT mat_dim : natural := 2;

type matrix is array (natural range <>, natural range <>)
of std_logic_vector(31 downto 0);
type bmatrix is array (natural range <>, natural range <>)
of std_logic;


end mat_pak;

综合后仿真模型文件自行修改了实体的顺序和数据类型。该实体如下所示:

    library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
use UNISIM.VPKG.ALL;

entity newproj is
port (
clk : in STD_LOGIC := 'X';
clr : in STD_LOGIC := 'X';
start : in STD_LOGIC := 'X';
parallel_add_done : out STD_LOGIC;
a_in : in STD_LOGIC_VECTOR3 ( 1 downto 0 , 1 downto 0 , 31 downto 0 );
b_in : in STD_LOGIC_VECTOR3 ( 1 downto 0 , 1 downto 0 , 31 downto 0 );
aplusb : out STD_LOGIC_VECTOR3 ( 1 downto 0 , 1 downto 0 , 31 downto 0 )
);
end newproj;

最佳答案

您的第一个数组不是多维数组,它是一个 2 次嵌套的一维数组。

你的例子:

type t11 is array (0 to c1_r2) of std_logic_vector(31 downto 0);
type t1 is array (0 to r1) of t11;

这个定义更加清晰:

subtype t_dim1 is std_logic_vector(31 downto 0);
type t_dim1_vector is array(natural range <>) of t_dim1;
subtype t_dim2 is t_dim1_vector(0 to c1_r2);
type t_dim3_vector is array(natural range <>) of t_dim2;
subtype t_dim3 is t_dim3_vector(0 to r1);

您可以通过索引每个维度来访问此结构:

signal matrix3 : t_dim3;
signal matrix2 : t_dim2;
signal matrix1 : t_dim1;
signal slv : std_logic_vector(31 downto 0);
signal sl : std_logic;

matrix2 <= matrix3(i);
matrix1 <= matrix2(j);
matrix1 <= matrix3(i)(j);
slv <= matrix3(i)(j);
sl <= matrix3(i)(j)(k);

您还可以对每个维度进行切片:

signal matrix3 : t_dim3;
signal slice3 : t_dim3_vector(0 to 3);
signal slice2 : t_dim2_vector(0 to 3);
signal slv : std_logic_vector(7 downto 0);

slice3 <= matrix3(4 to 7);
slice2 <= matrix3(i)(2 to 5);
slice2 <= slice3(i)(2 to 5);
slv <= matrix3(i)(j)(15 downto 8);

你的第二个例子:

type matrix is array (natural range <>, natural range <>) of std_logic_vector(31 downto 0);

这是一个带有嵌套一维数组的二维数组。可以按如下方式访问该结构:

signal mat : matrix(0 to r1, p to c1_r2);
signal slv : std_logic_vector(31 downto 0);
signal sl : std_logic;

slv <= mat(i, j);
sl <= mat(i, j)(k);

自 VHDL-2008 起,多维数组中也允许切片。在 VHDL-2008 之前,您必须使用函数来完成这项工作。

看看我的PoC.vectors包以查看如何处理一维和多维数组的方法。

关于arrays - VHDL 中的可综合多维数组,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/30651269/

40 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com