gpt4 book ai didi

system-verilog - 系统verilog中的数组串联

转载 作者:行者123 更新时间:2023-12-02 04:56:06 29 4
gpt4 key购买 nike

我写了一个连接代码如下:

module p2;

int n[1:2][1:3] = {2{{3{1}}}};

initial
begin
$display("val:%d",n[2][1]);
end

endmodule

显示错误。请解释一下?

最佳答案

解压缩的数组需要 '{} 格式。参见 IEEE Std 1800-2012 § 5.11(或在 LRM 中搜索 '{ 以获取许多示例)。

因此将您的作业更新为:

int n[1:2][1:3] = '{2{'{3{1}}}};

关于system-verilog - 系统verilog中的数组串联,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/17945517/

29 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com