gpt4 book ai didi

verilog - 将字符串或注释插入 vcd 转储文件

转载 作者:行者123 更新时间:2023-12-02 04:52:03 24 4
gpt4 key购买 nike

是否有任何通用方法可以将注释(或可能是任何字符串)插入到 vcd 转储中?
例如在下面的代码中,我想在 a 时插入一些注释更改为 1 :

module test;
reg a;
initial begin
$dumpfile("dump.vcd");
$dumpvars(1,test.a);
end
initial begin
a = 0;
#10;
// insert_vcd_string("MY_STRING", dump.vcd);
a = 1;
#10;
end
endmodule

最佳答案

尽管 $comment 没有将注释字符串写入 vcd 文件的标准系统任务是用于创建评论部分的 vcd 关键字:

来自 IEEE Std 1800-2012 LRM :

$comment This is a single-line comment $end 
$comment This is a
multiple-line comment
$end

我会尝试使用 $dumpoff、$dumpflush 和 $dumpon。 $dumpoff 和 $dumpoon 在 vcd 文件中留下一个带有时间戳的标记,即 #time $dumpoff ... $end :

来自 IEEE Std 1800-2012 LRM 的示例:
#1000
$dumpoff
x*@
x*#
x*$
bx (k
bx {2
$end

#2000
$dumpon
z*@
1*#
0*$
b0 (k
bx {2
$end

您可以随时在 dumpoff/on 之间切换 a更改为 1 ,并对vcd文件做后处理插入 $comment ... $end它们之间。

关于verilog - 将字符串或注释插入 vcd 转储文件,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/27288729/

24 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com