gpt4 book ai didi

types - 在哪里声明实体声明中使用的常量或类型?

转载 作者:行者123 更新时间:2023-12-01 13:00:41 24 4
gpt4 key购买 nike

如果我没有完全误解这一点,常量或类型不能在文件的顶层声明。只有包、实体、架构等。可以在那里声明。它们可以在实体中声明,但不能在端口和通用子句之前声明。

通常你会想要定义一个类型或常量用于实体的端口或通用子句,但由于这不能在文件的顶层声明,也不能在实体内部声明,所以它应该在哪里宣布?

最佳答案

通常这些是在包含在文件开头的包中声明的,就像您包含标准 VHDL 包一样:

library ieee;

use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;

use work.My_Custom_Types_Pkg.all;

entity My_Entity is
port (
...

然后您可以在端口声明和实体中的任何其他地方使用您的自定义类型。

关于types - 在哪里声明实体声明中使用的常量或类型?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/6164959/

24 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com