gpt4 book ai didi

vhdl 将不受约束的 std_logic_vector - lsb 分配给 msb 或将 msb 分配给 lsb

转载 作者:行者123 更新时间:2023-12-01 04:35:47 24 4
gpt4 key购买 nike

我想分配一个 std_logic_vector 而不给出界限。像这样:

constant xy: std_logic_vector := "100111";

当我想访问单个位时:
xy(0), xy(1)...xy(5)

我明白了
1, 0, 0, 1, 1, 1

这是一样的,当我分配向量时
std_logic_vector(0 到 5)。

这种行为是否标准化,分配无约束向量被解释为 std_logic_vector(0 to x) 而不是 std_logic_vector(x downto 0) ,还是这取决于实现?

我现在正在使用 ghdl,但想使用 vivado 进行合成。

最佳答案

简而言之,是的,标准化。当您声明一个不受约束的数组类型的对象时,您需要提供一个约束来指定索引边界(以便编译器可以分配所需的内存,等等)。有几种不同的方法可以做到这一点,其中大多数需要您明确指定数组限制和方向。

但是,常量有一个异常(exception)(仅):可以从用于初始化常量的表达式推断出约束。如果从字符串文字或具有位置关联的聚合(下面的 AB)初始化,则第一个/左侧元素的索引值取自范围声明中该类型的最左侧元素,以及方向取自该类型的方向。对于 std_logic_vector ,声明是:

SUBTYPE NATURAL is integer range 0 to integer'high;
...
TYPE std_logic_vector IS ARRAY ( NATURAL RANGE <>) OF std_logic;
NATURAL是升序,最左边的索引为 0,所以你的 xy是一样的。 OTOH,如果初始化器是具有命名关联的聚合(下面的 C),则常量的索引范围只是从聚合中获取。下面的代码应将 A 和 B 显示为 111001,将 C 显示为 100111。
library IEEE;
use IEEE.std_logic_1164.all;

entity TOP is
end entity TOP;

architecture A of TOP is
begin
process
constant A : std_logic_vector := "100111";
constant B : std_logic_vector := ('1', '0', '0', '1', '1', '1');
constant C : std_logic_vector :=
(5 => '1', 4 => '0', 3 => '0', 2 => '1', 1 => '1', 0 => '1');
begin
report "A is " &
std_logic'image(A(5)) & std_logic'image(A(4)) & std_logic'image(A(3)) &
std_logic'image(A(2)) & std_logic'image(A(1)) & std_logic'image(A(0));
report "B is " &
std_logic'image(B(5)) & std_logic'image(B(4)) & std_logic'image(B(3)) &
std_logic'image(B(2)) & std_logic'image(B(1)) & std_logic'image(B(0));
report "C is " &
std_logic'image(C(5)) & std_logic'image(C(4)) & std_logic'image(C(3)) &
std_logic'image(C(2)) & std_logic'image(C(1)) & std_logic'image(C(0));
wait;
end process;
end architecture A;

编辑

如下所述, report语句没有显示这些向量的“自然”顺序,这是故意的。您会注意到这意味着分配给 A 的文字值是报告显示的值的反向。如果您不喜欢它,请交换报告中的索引,或使用 to_string取而代之(仅限 2008 年)。

关于vhdl 将不受约束的 std_logic_vector - lsb 分配给 msb 或将 msb 分配给 lsb,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/46296180/

24 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com