gpt4 book ai didi

vhdl - 什么时候在 VHDL 中分配信号?

转载 作者:行者123 更新时间:2023-12-01 04:08:36 25 4
gpt4 key购买 nike

考虑到这段代码:

architecture synth of my_entity is
signal a : std_logic;
begin

a <= c and d;
b <= a and c;

end synth;

第二行是否要尊重 a在其他进程中更改还是所有信号仅在架构结束时分配?

最佳答案

小心你的术语。当你说 a在另一个“进程”中更改,在 VHDL 中具有特定含义(进程是 VHDL 中的关键字),并且您的代码没有任何进程。

合成器会将您的代码视为:

a <= c and d;
b <= (c and d) and c;

模拟器通常会在第一次传递中分配 a,然后在第二次传递中分配 b 一个“增量”。增量是在与初始分配相同的仿真时间发生的无限小的时间延迟。

请注意,这是对实际情况的粗略概括……如果您需要完整的详细信息,请阅读随工具链提供的文档。

关于vhdl - 什么时候在 VHDL 中分配信号?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/7718805/

25 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com